Design Intern Resume Samples
4.5
(102 votes) for
Design Intern Resume Samples
The Guide To Resume Tailoring
Guide the recruiter to the conclusion that you are the best candidate for the design intern job. It’s actually very simple. Tailor your resume by picking relevant responsibilities from the examples below and then add your accomplishments. This way, you can position yourself in the best way to get hired.
Craft your perfect resume by picking job responsibilities written by professional recruiters
Pick from the thousands of curated job responsibilities used by the leading companies
Tailor your resume & cover letter with wording that best fits for each job you apply
Resume Builder
Create a Resume in Minutes with Professional Resume Templates

CHOOSE THE BEST TEMPLATE
- Choose from 15 Leading Templates. No need to think about design details.
USE PRE-WRITTEN BULLET POINTS
- Select from thousands of pre-written bullet points.
SAVE YOUR DOCUMENTS IN PDF FILES
- Instantly download in PDF format or share a custom link.
SF
S Ferry
Sarah
Ferry
65275 Van Brooks
Boston
MA
+1 (555) 177 5784
65275 Van Brooks
Boston
MA
Phone
p
+1 (555) 177 5784
Experience
Experience
Chicago, IL
Design Intern
Chicago, IL
Wolf Inc
Chicago, IL
Design Intern
- Concept Development: Work with sales, design, project management, design management, and/or the client
- Assist Creative and Business Development teams to create mistake free presentation deck graphics and visual assets
- Under the direction of the Design Director and Creative Director develop and executes design concepts for a variety of network initiatives
- Work with Creative and Business Development teams to develop a wide variety of day to day projects
- Perform assignments under the direct supervision of an Architect, Project Manager, or other professionals
- Help design and develop collateral and concepts to execute design direction
- Assist the business unit team with day-to-day work that covers activities across the division
Chicago, IL
Product Design Intern
Chicago, IL
Upton, Bergstrom and Shields
Chicago, IL
Product Design Intern
- Develop concepts and communicate ideas
- Collaborate with product teams, strategists, developers and internal clients to create digital product designs that meet business goals
- Articulate the rationale of design from both a user-centered focus and business perspective to both internal and external stakeholders
- You’re fascinated with how people engage with software both in their personal lives and at work
- You give and solicit feedback from other designers in order to continually raise our bar for quality
- You understand the value of building and maintaining a living style guide
- You're hyper-organized, practical, and love finding new ways to do things faster and better
present
Los Angeles, CA
Industrial Design Intern
Los Angeles, CA
Mraz-Torp
present
Los Angeles, CA
Industrial Design Intern
present
- Developing and executing design projects from research through prototype and production
- Translates established ideas into project artifacts, such as sketches, storyboards, prototypes, and models
- Participates as a member of project team of other industrial designers and internal and outsourced design and development partners to develop holistic, high-quality design solutions for low to moderately-complex projects, products, and services
- Create Color, Material and Finish variations for projects
- Develop a personal project related to mobile industry
- Research the market and create inspiration boards
- Assist in all phases of product development, translating innovation to concepts and working with project teams to bring concepts to production
Education
Education
Bachelor’s Degree in Industrial Design
Bachelor’s Degree in Industrial Design
Illinois State University
Bachelor’s Degree in Industrial Design
Skills
Skills
- Ability to multi-task and learn quickly, good memory, and strong attention to detail
- Strong analytical ability to solve problems and ability to learn quickly
- Good workingknowledge of design anddrafting fundamentals-modeling and detailing
- Good attention to detail, excellent spelling and grammar
- Strong technical skills and proficiency with current Adobe Suite
- Strong working knowledge of Adobe InDesign, Photoshop, and Bridge
- Well-organized, detail-oriented, and able to handle a fast-paced work environment
- Ability to interact in a courteous, helpful, and professional manner
- Strong computer skills and working knowledge of standard office software, including Microsoft Office and Adobe Acrobat
- Basic knowledge of the production process
15 Design Intern resume templates
Read our complete resume writing guides
1
Technical Design Intern Resume Examples & Samples
- Passion for the A&F Brands and an interest in apparel specialty retail
- Engaging personality with strong communication and presentation skills
- Career-driven with vigorous work ethic and high performance standards
- Knowledge of garment construction and fitting skills
- Strong patternmaking, grading, draping skills
- Ability to manipulate existing patterns
2
Apparel Design Intern Resume Examples & Samples
- Currently pursuing a degree in fashion/apparel design or relevant field
- Passion for the A&F brands and an interest in specialty retail
- Strong computer skills (Illustrator, PLM)
- Minimum 3.0 GPA
3
Vans Footwear Design Intern Resume Examples & Samples
- Create and develop footwear concept drawings, renderings and prototypes based on product design briefs
- Communicate designs through hand sketches/renderings, computer drawings/renderings, computer technical drawings
- Analyze feedback from design, merchandising, sales and marketing to ensure designs meet the requirements of the consumer and the brand
- Work with the development department to maintain a practical concern for technical processes and manufacturing requirements
- Years of Related Professional Experience: 0-1 yr
- Excellent sketching and design skills as well as problem solving skills
- A strong aesthetic and fashion sense
- Ability to manage multiple projects during the product creation cycle
4
Design Intern Resume Examples & Samples
- Basic understanding of garment construction
- Basic design and color sense
- Basic organizational and communication skills
- Basic computer knowledge
- College degree in Fashion Design or related field preferred
- Six months to a year design experience (may include summer jobs, internships, etc.)
5
Design Intern Resume Examples & Samples
- This position is based out of the Private Label Design Office in Downtown L.A.**
- Help build monthly capsule color story & fabric ideas to aid in driving seasonal design ideas
- Research, identify, and share current industry design trends and competitive reviews as they relate to the brand
- Help design team source cost effective fabrics and techniques both foreign and domestic
- Building and manage line guides
- Currently in process of obtaining a degree in Apparel Manufacturing, Product Development or Apparel related field
- Recently graduated (less than 1 year) from college or university
- Photoshop or Illustrator experience
- Knowledge of fabric, apparel, materials, construction and fit
- Strong understanding of apparel design and production process
6
Marc by Marc Jacobs Watch Design Intern Resume Examples & Samples
- Student currently enrolled in an accredited university (Industrial Design and Jewelry Design majors preferred)
- 0 GPA
- Computer software skills: Adobe Suite, Mac Operating System, Illustrator, Photoshop, Rhino (Jewelry Design), Solidworks and/or Keyshot, In-Design, 3-D rendering
- Ability to produce tight hand sketches and computer generated designs
- Refined communication and presentation skills
- Desire to work in a fast paced environment while handling multiple projects
- Sense for fashion and ability to generate new product ideas
7
Audience Development Design Intern Resume Examples & Samples
- Discovery Digital Networks is a wholly owned business of Discovery Communications. This internship will be directly for Discovery Digital Networks, and will not be a part of the Discovery Communications Internship Program.***
- Produce a variety of brand specific deliverables including infographics, web & social media content, and other assets for promotional projects
- Coordinate with the Audience Development team to ideate and design compelling visual content
- Increase awareness of DDN properties, and learn to drive viewers and traffic to our sites and to our partners
- Assist with daily posting to social media sites including, but not limited to Twitter, Facebook, Google+, Instagram
- Participate in regular meetings to discuss trends and help determine show content
8
Mobile UX Design Intern Resume Examples & Samples
- Envision and craft innovative mobile experiences for the Bloomberg Professional ecosystem of offerings
- Demonstrate mobile expertise and best practices to the broader design, technology and product
- Develop concepts from idea to final design by leveraging a broad designer toolkit, from sketches to wireframes for platforms such as iOS, Android Windows Phone and Mobile Web
- Demonstrate deep knowledge of state of the art in user research, user workflow and task modeling, mobile interaction design, information architecture design, conceptual design, best practices in mobile design, design guidelines and heuristics, and ability to evaluate design concepts
- Quickly and effectively communicate your design ideas and rationale
- Present and build confidence around design artifacts such as user research findings, high-level conceptual design ideas, and detailed design specifications
- Interested in exploring and implementing new approaches that can create value for a growing mobile design team
- Mentor and inspire newer members of the team
- Bachelor's degree in Human Computer Interaction (HCI), Human Factors, Psychology, Graphic Design, Computer Science, Mathematics, Fine Arts, Industrial Design, Anthropology or other related field; Master's degree or PhD a plus
- 2+ years of UX design experience
- Experience designing *native* apps for phones and tablets with expertise in platform standards for iOS and Android
- Experience in designing for Blackberry, Windows or Mobile Web is a plus
- Experience in leading design projects
- Familiar with user experience design methodologies including Agile
- Highly proficient in design and rapid prototyping tools like Omnigraffle, Visio, InDesign, Illustrator or Photoshop
- Experience working in a fast-paced and collaborative environment
- Excellent presentation and communications skills
9
Spring Advertising / Design Intern Resume Examples & Samples
- Work within seasonal design templates for various design projects such as in-store signage, eblasts, handouts, various marketing and promotional materials, etc
- Preparing and uploading files for print and web
- Looking for swipes and inspirational images
- Assistance on larger design projects
- 1+ Years of relevant experience in graphic design
- Follow brand guidelines / style guides / maintaining brand consistency
- To be able to work in a Mac Platform using Adobe Creative Suite (InDesign, Illustrator, Photoshop, and Adobe Acrobat)
- Strong typography skills and acute attention to detail
- Basic knowledge of print production process
- Ability to take direction, work independently and be self-motivated
- Ability to multi-task and have strong organizational skills to work in a fast-pace environment
- Please note that applicants must be currently enrolled in an undergraduate or graduate program and must be eligible to receive school credit upon successful completion of our internship program. A candidate's application acknowledges that they will be available to intern a minimum of two to three full days per week throughout the duration of the program which lasts from January 26 through April 17
10
ABC Design Intern ABC Entertainment Marketing Resume Examples & Samples
- Animate projects in After Effects
- Assist with design on various projects (storyboards)
- Assist team with other production needs as needed
- All students must be eligible to work in the US
- You must currently be enrolled in an accredited college or university and taking at least one class – OR – be a recent graduate of an accredited college or university within the last six (6) months - OR - be currently participating in the Disney College Program, Disney Culinary Program or Disney Professional Internship Program in order to qualify for this internship
- All students must be available to work up to 40 hours/week
- All students must be available during the months of May/June through August/September for the 12-15 week program
- Strong interest in Television and the Entertainment Industry
- Prior work experience in design/animation
- Proven ability to work in a fast paced environment
- Production background in television
11
Design Intern Resume Examples & Samples
- Superior organizational skills and excellent communication skills
- Passion for design, willingness to take on any task assigned, quick to learn new things
- Highly detail oriented, meticulous and creative
- Proficient in Microsoft Office, Adobe products and Mac computers
12
Abc-design Intern Resume Examples & Samples
- Design Keynote and Powerpoint presentations for the research team
- Work with analysts and team managers to streamline and optimize reports
- Help establish design guidelines and templates to be used across the department
- Pull photos and logos for various TV shows
- Assist with any other design related projects
- The candidate should have strong graphic design skills, experience with design software like Photoshop, and presentation tools such as Keynote and Powerpoint
- Strong interest in TV and media
- Detail-oriented, able to prioritize multiple projects, and meet deadlines
- Self-starter who works well across a large team
- Prior experience in a fast-paced results-oriented business environment
13
Atlanta Design Intern Resume Examples & Samples
- Open to junior, senior and graduate students currently enrolled in a design or marketing program at a college or university
- Proficiency in Adobe Creative Suite (InDesign, Photoshop and Illustrator), PowerPoint and Internet Research is required . Video editing, illustration, photography and other creative skills are a plus
- Must be deadline and detail-oriented and exhibit initiative, autonomy and accountability with respect to their responsibilities as well as demonstrate the proven ability to work well with others in both team and independent environments
- Positive, professional, and flexible attitude that lends itself to quality client service is a must
- A portfolio of design work is required, showing range of creative capabilities. Portfolios can be linked from a personal portfolio site, Behance, or other portfolio community sites
- Previous internship or agency experience a plus
14
Summer UI Design Intern Resume Examples & Samples
- Develop concepts and communicates ideas
- Create compelling designs for web, mobile and living-room devices
- Create show art to represent primetime, daytime and late night shows
- Contribute to the innovative development of the creative department
- Additional duties as assigned
- Must be detail oriented
- Experience designing websites and/or mobile apps
- Ability to think creatively and justify concepts to clients and team members
- Experience with strategic brainstorming and creative execution
- Proven aptitude for quick creative thinking with acute attention to detail within demanding deadlines
- Proficient in Adobe Illustrator and Photoshop. Understanding of OmniGraffle
- Must be currently enrolled in college/university with at least one semester remaining after completion of the internship
- Must successfully complete background check
15
UX / UI Design Intern Resume Examples & Samples
- Work with lead designer and development team to prototype and build new products
- Research design standards, interaction models, and emerging technologies
- Collaborate with the Usability Testing Lab to design and conduct user research
- In-depth knowledge of design tools such as Photoshop and Illustrator
- Investigative nature with strong problem-solving skills
- Positive attitude, proactive, and helpful
- User testing and research experience
- Travel industry understanding and experience
16
User Experience Design Intern Resume Examples & Samples
- Learn to research, design and prototype new user experiences for new features, create design mockups, story boarding, and to write behavioral documentation (required for proper design implementation) with Garmin’s development methodology with guidance
- Learn to produce creative, simple and highly usable solutions for how products intereact in response to human behavior
- Learn to work closely with user experience designers and graphics and industrial designers, engineers and product managers of the assigned project in determining design directions
- Learn to assist with new user interface testing and conduct usability tests to get feedbacks on new designs using Garmin’s automotive driving simulator
- Learn to collaborate with and communicate to cross-functional teams to produce superior solutions
- Learn to maintain and ensure user interface design and behavioral consistency across Garmin products
- Completed coursework in Computer Science, Graphic Design, Interaction Design, Visual design, or a degree with an equivalent curriculum
- Strong academics (cumulative GPA greater than or equal to 3.0 as a general rule)
- Online portfolio demonstrating a strong visual design, web design and front-end development proficiency deigning in both Adobe Photoshop and Illustrator, developing within HTML 5 and CSS3 specifications, developing semantic markup and front-end code that is cross-browser compatible, and experience simultaneously supporting multiple projects of varying complexity
- Good organizational skills, creative and analytical skills, team-oriented, positive attitude and the ability work in a fast-paced environment with minimal supervision
- Relevant experience and/or training related to user interface design and behavior
- Other education and/or experiences as required for the specific projects he/she will develop
- Excellent academics (cumulative GPA greater than or equal to 3.5)
- Desired software skills: Adobe Illustrator, Adobe InDesign, Axure, and Adobe Flash or After Affects
- Previous experience working in a team environment
17
Fashion Design Intern Resume Examples & Samples
- Update Design Boards
- Design Research for inspiration/silhouette
- Illustrator sketching
- Hand Sketching
- Monitor & Update Color Reference Library
- Monitor & Update Trim Reference Library
- Seasonal Color Cards
- 18 years or older
- Strong organizational skills with attention to detail and follow-through
- A positive, outgoing, high energy personality that is entrepreneurial
- Work well in a fast paced environment
- A multi-tasker, proactively seeks out opportunities to support the team with a can-do and follow- through attitude
18
Interaction Design Intern Resume Examples & Samples
- Building interaction design explorations, flows, scenarios, wireframes, and other behavioral specifications and artifacts
- Working with other designers and developers to produce and ship product
- Working within existing brand guidelines, and extending these guidelines in new ways
- A portfolio of interaction design, visual design, or other design work
- Working knowledge of Adobe Illustrator, Photoshop, and/or Flash
- Strong collaboration skills and ability to receive and incorporate critique from peers as well as stakeholders, as well as provide meaningful critique
19
Interaction Design Intern Resume Examples & Samples
- A design education with work demonstrating an ability to bring the human experience forward, and leave the technology in the background
- In-depth knowledge of fundamental interaction design and information architecture disciplines and principles, and power user of industry-standard tools such as Adobe Illustrator
- An outstanding body of work demonstrating design/interaction solutions that incorporate design thinking (or other similar) methodologies where people are the center of the solution
- Proven ability to find simple solutions to complex problems
- Demonstrate an exceptional eye for detail
- Demonstrate ability to communicate visually
- Experience with contextual inquiry and design research
- Graphic/Visual Design experience
- Conducting necessary field research to fully understand the context and impacted people for the targeted solution. Engaging users through a variety of validation techniques such as usability testing, surveying, client interviews and client observation
- Collaborate and critique with product management, other designers, engineering, and quality assurance to design a complete end-to-end solution and deliver specifications in the most useful format possible, including but not limited to detailed wireframes, user stories, specifications, and design reports for stakeholders
- Translating the understanding of user and business’ expectations, and the inclusion of other technologies or processes, into a granular level of interaction, including all details of the experience
20
Industrial Design Intern Resume Examples & Samples
- Collaborate with Design, Engineering, Marketing, and Management teams to translate project goals into relevant solutions
- Explore a wide variety of compelling design options
- Communicate concepts and ideas through verbal, visual, and written means
- Completed coursework in Industrial Design, Product Design, or a field relevant to perform the essential functions of this job description
- Excellent academics (cumulative GPA greater than or equal to 3.0 as a general rule)
- Must demonstrate digital proficiency in the use of tools such as SolidWorks, Keyshot, CorelDRAW Graphics Suite, Adobe Creative Suite, and/or Sketchbook Pro
- Must demonstrate interest in designing for one of Garmin’s core markets listed below
- Ability to provide a portfolio of school projects that demonstrates skills, experience and/or training in product design
21
Rfic Design Intern Resume Examples & Samples
- Research into new and improved transceiver architectures, including systems aspects, with particular focus on low-power receivers front-ends
- Design, implementation and validation of RF transceivers and mixed-signal building blocks
- You must currently be a Ph.D. student in Electrical Engineering or a related discipline
- Must have at least 1 year of experience in the following
- Knowledge of RF and mixed-signal circuit design, especially in nanometer technologies
- Understanding of overall wireless communications systems design and tradeoffs, with focus on receivers. Familiarity with at least one wireless standard (e.g. WLAN, LTE, etc...)
- Knowledge of integrated circuit design and layout constraints. Ideal candidate should have performed at least one IC tape-out and characterized silicon
22
DCG FDO Grad SOC Design Intern Resume Examples & Samples
- Must be pursuing an MS or PHD in Electrical Engineering or Computer Engineering
- Digital or VLSI design
- Experience/Interest in lab characterization
23
GFX Design Intern Resume Examples & Samples
- Logic design using System Verilog
- Functional logic verification using industry standard simulators
- Synthesizing the RTL code and doing timing analysis/fixes
- Writing automation scripts in Perl/other languages
- Must be a student currently pursuing a Master of Science degree in Electrical Engineering or Computer Engineering, with coursework relevant to digital logic design, and a minimum cumulative GPA of 3.0
- 6 months of experience in logic design and analysis
- 6 months of experience in designing/validating with System Verilog* hardware description language
- Familiarity with Complementary Metal-Oxide Semiconductor (CMOS) circuit design concepts
- Familiarity with Very High System Integration (VLSI) and/or Application Specific Integrated Circuit (ASIC) flows such as logic simulation, synthesis, timing analysis, floor planning, and formal verification
- Knowledge of PC and chipset architecture (microprocessors, busses, caches, interrupts, Direct Memory Access (DMA) and others)
- Working knowledge of UNIX* (or similar) computing environment as well as basic scripting skills
- Knowledge of PC interfaces
- Six months of experience in programming and scripting skills (Perl, C, Visual Basic*)
24
SSL Circuit Design Intern Resume Examples & Samples
- Must have at least 6 months of working experience with
- Data acquisition using Matlab and LabView
- Controller programming
- System level architecture
25
Hardware Design Intern Resume Examples & Samples
- Working with experienced logic designers to assist in defining functionality and delivering RTL that meets the project requirements
- Working with validation engineers to insure correct functionality of the design
- Working on RTL tools/methodologies
- Pursuing a Master's degree in Electrical Engineering or Computer Engineering
- Minimum GPA of 3.3
- Candidate must have 6 months of work or educational experience with the following: Verilog/ VCS, computer architecture, digital logic design, circuits and validation
- Scripting skills such as Perl, C++, Unix
- Assembly language programming is a plus factor
26
Software Design Intern Resume Examples & Samples
- Learn what it is like to collaborate with multiple project teams of other software applications engineers and internal and outsourced development partners responsible for all stages of design and development of the product, including solution design, analysis, coding, testing, and integration
- Assist with activities to establish, enhance, and extend the software development platform, tools, and processes
- Plan and schedule software development tasks and provide status updates as necessary
- Document and present designs to reviewers to validate quality, security, customer usability, and completeness of design
- Learn how to use creative innovation thinking and integration of new technologies into projects and activities in the software applications design organization
- High School Degree
- 2+ years of University completed-typically a technical degree specialization
- Some knowledge in coding languages areas such as C#, C++, JavaScript, HTML, XML
- Knowledge about web development areas would be helpful
27
Industrial Design Intern Resume Examples & Samples
- Designs portions of the industrial design for physical hardware products and systems based on established design requirements and principles and in accordance with design strategy, practices, and guidelines
- Translates established ideas into project artifacts, such as sketches, storyboards, prototypes, and models
- Implements portions of industrial design plans, changes, specifications, and reusable design elements for new and existing products
- Develops understanding of and relationship with internal and outsourced development partners on industrial design and development
- Participates as a member of project team of other industrial designers and internal and outsourced design and development partners to develop holistic, high-quality design solutions for low to moderately-complex projects, products, and services
28
Interaction Design Intern Resume Examples & Samples
- Explore interactive aspects of new medical device concepts and push boundaries of possible product architectures and physical/digital interface experiences
- Build static and interactive prototypes to explore user interface flows and interactions, and rapidly iterate based on input from colleagues and users
- Work closely with design researcher to understand and design for physical, cognitive, and emotional user needs of various stakeholders. Participate in primary research activities and synthesis
- Establish visual design language for digital elements of the system
- Interact with hardware and software engineering to take into account current feasibility constraints
- Develop and document ideal digital interface design for proof of concept or prototype systems of medical device instrument
- Look inside and outside of the medical device industry to stay up to speed with existing and future trends in interaction design, and follow best practices
- Educate colleagues about interaction design and human-centered design processes
- Education: Current masters student or holds Master Degree in interaction design or similar concentration
- Experience: Product, interaction or service design; medical device is a plus
- Knowledge: UX, information design, cognitive models and/or human-computer interaction principles
- Skills: Adobe Creative Suite or similar interactive design/prototyping software
- Excellent oral and written communication skills with the ability to communicate clearly to others in different functions, at various levels
29
Design Intern Resume Examples & Samples
- Familiarity with Photoshop, InDesign, Illustrator
- Ability to conceive and present a design proposal
- Strong attention to detail in editing, design and text formatting
- Research and Trouble-shooting skills
- Ability to receive and incorporate constructive feedback
- Interest in contemporary art
- Mac user
30
Hmh Labs Software Development Design Intern Resume Examples & Samples
- The internship requires very strong design and communications skills
- This role will have responsibility related to technical implementations working alongside a team of engineers, test engineers and product stakeholders
- This is a hands-on development role and requires a design background
- Participate in usability reviews and lead improvements in front end design
- Pursuing a Bachelor's degree in design or other related degree
- Experienced with Adobe suite
- Experience or learning front-end development
- Comfortable with CMS tools
- Understands CSS and HTML
- Interested in UX
- Willing to take feedback, and be comfortable shifting priorities depending of the needs of the day
- You must be a self-starter, take initiative, and work both independently, collaborate well with peers and love a good challenge
31
Digital Design Intern, men s Health Resume Examples & Samples
- Designing graphics
- Sizing and editing photographs
- Creating tiles for social media
- Creating graphics for video projects
- Participating in idea generation and brainstorms with the edit staff
- Pitching in with the uploading and maintaining social media channels
32
Industrial Design Intern Resume Examples & Samples
- Concept generation (sketches), refinement (2D and 3D cad) and delivery of final 3D cad data to prototype and surface engineering teams
- Create Color, Material and Finish variations for projects
- Communicate and present your ideas at weekly design reviews to CXD team members
- Develop a personal project related to mobile industry
- Excellent sense of form, proportion and detail
- Excellent skill and ability to visualize concepts in Rhino
- Excellent written, listening and oral communication skills
- Create visually compelling presentations using Google Slides
33
Design Intern Resume Examples & Samples
- Shadows supervisor to understand the daily workings of the department
- Maintains design samples and textiles strike-offs
- Prepares sketches under the direction of the Design team
- Researches competitive intelligence and fashion trends
- Works in collaboration with all cross-functional partners
- Currently working towards a Bachelor’s degree in related field
- Great fashion sense and passion for product
- Detailed and accurate
- Proficient computer skills (Photoshop, Illustrator, Excel)
- Proficient flat hand-sketching and CAD sketching abilities
- Works well with team members under the direction of supervisor
34
Technical Design Intern Resume Examples & Samples
- Works under close direction and handles issues of fairly basic complexity
- Maintains technical sample tracking
- Prepares and measure samples for fittings
- Takes fit notes in fittings
- Supports communication of fit comments to vendors/factories for approval and/or corrections
- Assists to maintain consistent fit standards set by The Limited
- Attends in-store visits and assists in quality control audits
- Presents summer-long project to the Operating Committee in a 5 minute presentation
- Rising senior status (entering final year of school)
- GPA of 3.0 or higher
- Self-motivated with a strong sense of urgency
- Organized and accurate with attention to detail
35
Web Design Intern Resume Examples & Samples
- Concept and design web layout, navigation, and associated graphics
- Develop design strategies for WDAS internal and web efforts
- Develop innovative design campaigns for a variety of delliverables
- Experiment with new formats, design directions, and ideas
- Understand and interpret animation pipeline and production deliverables and create a multitude of presentations featuring multimedia, graphs, images, and presentation videos
- Acquire all clearances of all efforts concerning the branding and marketing of our properties with Marketing, Publicity, Business and Legal Affairs, Production Leads
- Handle sensitive information in a professional and confidential manner
- Demonstrated experience using Adobe Creative Suite, HTML, Flash, Dreamweaver, and Web design is highly desirable; HTML5 and PHP experience is a plus
- Possesses an understanding of the web landscape from a designer’s eye, such as a basic understanding of web sites, banner ads, e-newsletters, and social media
- Experience translating business information into innovative charts, graphs, informational graphics a plus
- Photography skills a plus
- Sophisticated sense of typography, composition and color, great eye
- Ability to meet deadlines and multi-task; quick turnarounds in a team environment
- Flexibility and strong work ethic
- A minimum of 2 years experience working within a fast-paced design, graphics and or interactive design environment or studio
- On-line portfolio featuring innovative and original designs solutions
- The ideal candidate for this position is mature, intelligent, responsible, creative, innovative, high-energy self starter with Animation, film, or other production recruitment exposure
36
Design Intern Resume Examples & Samples
- Strong conceptual, design and graphic abilities
- Demonstrated interest in Contemporary Design and Art
- Proficient knowledge of software including AutoCAD, Rhino, Adobe Creative Suite, and Microsoft Word (Required)
- Eligibility to work in the United States
37
Design Intern Resume Examples & Samples
- Communications
- Environment, Health & Safety
- Facilities
- Human Resources
38
Asic Design Intern Engineer Resume Examples & Samples
- Computer architecture and computer arithmetic (a plus)
- Computer graphic basic knowledge (a plus)
- Experience with Database technologies and database-driven custom web application development (a plus)
- Have project experience during university education
- Strong passion in achievement and career development
- A self-motivated team player
39
Summer Design Intern Resume Examples & Samples
- You will be responsible for a range of design activities
- Design campaigns, marketing materials, and presentations
- Manage stock images and design archive
- Provide administrative support to Marketing and Communications team as needed
- You are pursuing a BA/BFA/MFA in arts or design
- You are experienced in Adobe Creative Suite (Photoshop, Illustrator, InDesign) Microsoft PowerPoint
- You have an understanding of web standards and responsive web design, and a respect for user experience design
- You have experience working across mediums: image and video production
- You have a creative spirit
- You understand the value of aesthetic restraint and simplicity
- You manage the balance of form and function in your designs
- You collaborate well with teams, but possess the initiative and professionalism to work independently
- You welcome honest, candid feedback
- You recognize that true communication is equal parts listening and talking
40
SW Design Intern Resume Examples & Samples
- Use C/C++/Java to develop and unit test SW or simulation SW running on MP/DSP. The simulator is to test 4G RAN SW component
- Debug and troubleshooting the simulator SW on MP/DSP enviroment
- Solid C/C++/Java work experience. Past intern experience with C/C++ line-of-code more than 5k-10k is a big plus
- Solid linux development experience is a plus
- Excellent communication skills (in Chinese). Excellent written English skills
- Can begin the internship and work more than 6 months with the tutor's written permission
41
Preliminary Design Intern Resume Examples & Samples
- Proposal Technical Writing
- Analysis of Requirements
- Configuration Development
- Trades Studies
- Development of Briefing Materials
- Design Investigations
- Preliminary design skills, with breadth of knowledge more important than depth. End products are preliminary design configurations and derivative designs for various customers, RFP and RFI responses (technical definition, coordination with Platform Team), product improvement plans (integration of new technology initiatives in a measured way to improve performance), and support of marketing (configuration definition responses to various customer requests). Knowledge of current products (Hawks, S/H-92, Heavy lift) and Preliminary Design Standard Work is required. Must be able to multi-task and work as part of a team to support customer schedules. Pursuit of engineering degree, preferably Aerospace or Mechanical, is required. Knowledge of 3D design and CATIA skills is also useful, though not required
- Commerical and Industry Relations
- Internal Audit
42
Rotor Design Intern Resume Examples & Samples
- Business Development
- E-business
- Operations
43
Instructional Design Intern Resume Examples & Samples
- Design and develop eLearning programs to support the priorities of the Office of Juvenile Justice and Delinquency Prevention
- Utilize Lectora Inspire and other design tools to produce engaging content
- Participate in the training design process from needs analysis through ongoing evaluation
- Create learning objectives, instructional outlines, storyboards, and evaluations as part of the training design process
- Research, evaluate, and propose training resources to be used for content creating and training design
- Collaborate with OJJDP’s NTTAC Training Design and Delivery Lead, staff, and stakeholders to ensure training programs achieve desired objectives
- Work closely with OJJDP’s NTTAC Training Design and Delivery Lead and Program Director to develop eLearning strategies to support juvenile justice professionals in the field
- Pursuit of a Bachelor of Arts degree in Instructional Design or other related area
- Skills using PowerPoint and other presentation software
- Intermediate experience using Lectora or Lectora Inspire is preferred
- Experience using Camtasia or Captivate
- Experience using SnagIt, Photoshop or other graphic design/image manipulation tools is a plus
- Prior exposure to a learning management system is a plus
- Ability to work effectively in a team-oriented environment
- Strong ability to prioritize and manage multiple tasks, and meet deadlines
- Ability to work independently and collaboratively in a remote environment
- Effective time-management skills
- Ability to work with all levels of internal staff, as well external clients
44
Design Intern Resume Examples & Samples
- Support Creative Director, Lead Designer, Project Manager and Lead Producer from research to concept and design to production
- Create concepts, sketches, layouts and final designs under the direction of Creative Director and Lead Designers
- Develop and design internal and client presentation materials
- Prepare files for print production and online delivery
- Maintain company website and provide design support for digital and social platforms
- Provide vendor support: obtain printer and fabrication quotes and samples
- Iterate quickly and collaboratively
- Own and deliver entire projects and / or specific elements of projects
- Present ideas to peers and seniors
- Enforcement and upkeep of file management
- Education / background in communication design, graphic design, media design or
45
Industrial Design Intern / Co-op Resume Examples & Samples
- Creation of image boards that reflect design criteria, goals, competitive analysis, design trend and inspiration
- Support design teams in building presentations for both internal and external meetings
- Develop a personal project related to the mobile industry
- Currently pursuing a Bachelors or Masters degree in Industrial Design or similar
- 0 to 2 years experience in a design consultancy or corporate design office
- Must work effectively with a variety of team members
46
Senior Design Intern Resume Examples & Samples
- 10+ years of experience in 3D design and production
- Strong organization, communication, and presentation skills
- Strong knowledge of 3D design techniques and tools
- Experience with project and asset management
- Strong knowledge of 3D design principles and techniques
- Understanding of technology and business trends, evolution/convergence of internet, mobile, wearables, location, and social digital media
- Ability to scope design initiatives accurately and prioritize work items appropriately
- Ability to work and interact effectively within a collaborative team environment
- Adaptability and stress tolerance
47
Software Design Intern Resume Examples & Samples
- Build up knowledge of customer requirements and software product development
- Design and develop test strategy based on customer specification and product requirements
- Write test specification and develop test tools
- Setup and maintain system integration test environment, integrate OAM systems, software installation and configuration
- Perform testing, debug node/system issues and verify compliance of interfaces and features
- Handle customer site support case
- Travel for certification and customer site activities on demand
- Contribute on team level continuous development
- BSc or MSc in Electrical Engineering or Computer Science or Telecom Engineering
- Clear mind and logical thinker, good ability for learning and troubleshooting
- Good communication skills, result-oriented and self driven
- Working experience in telecom software testing, preferred areas like Node level OAM testing, EMS testing, NMS testing, etc. (Not applicable to fresh graduates or intern)
- Familiarity with UNIX/LINUX OS, DB, CORBA and scripting
- Knowledge or experience of software development
- Knowledge or experience of software testing and system integration
- Knowledge or experience of Agile Practice (SCRUM in particular)
- Fluency in oral and written English
- OAM knowledge in Network Elements for Radio and Core Network Node or NBI will be a plus
48
Experience Design Intern Resume Examples & Samples
- Identify user needs and build consensus around those needs
- Quickly visualize and prototype ideas to communicate concepts
- Construct beautiful, functional interface designs that work in concert with platform technologies
- Participate in peer design critiques to give and receive critique
49
Hardware Design Intern, Developer Resume Examples & Samples
- IP Access Aggregation (Ethernet Switching, Routing, QoS etc)
- Basic knowledge on embedded system
- HDL design
- Good communication skills and social ability
50
Game Design Intern Resume Examples & Samples
- Ability to accept critique, ability to listen
- Excellent critical sense
- Excellent communicator (spoken and written) and good interpersonal skills
- Ability to work within a multidisciplinary team
51
Global Employer Brand Design Intern Resume Examples & Samples
- Support Digital design for social media and digital properties such as adidas Group career site
- Assist with managing adidas Group social media career pages on Facebook, LinkedIn, Instagram, Twitter and others
- Work closely with our lead designer on customized creative requests from the markets
- Convincing, communicative and flexible personality
- A hunger to learn and be part of a fast-paced dynamic team
52
Web Design Intern, Fall Resume Examples & Samples
- All candidates must be available during the months of September through December for the 12-week program
- Knowledgeable in Adobe Creative Suite(Specifically Photoshop, Illustrator and InDesign)
- Understanding of RGB color space and pixel-based web design environments
- Understanding of web image optimization
- Strong understanding of Typography and core design principles
- Preferred Junior or Senior-level standing, or a recent graduate within 6 months of graduation date
53
Licensing Design Intern, Home Resume Examples & Samples
- Proficient in Microsoft Suite
- Strong attention to detail and organization skills required
- Interior design major preferred but not required
54
Accessories Design Intern Resume Examples & Samples
- Partner with Design team to design and develop accessories collection from concept to final samples
- Support the design team with all presentations: create and maintain boards
- Assist the designers in updating tech packs for factories
- Organize samples and material references
- Design or Fashion Design major preferred
- Experience with Microsoft Excel, Adobe Illustrator and Photoshop
- Ability to illustrate sketches
- Able to multi-task
- Must be eligible to receive school credit as this is an unpaid internship
- Must be available to work 10+ hours each week throughout the Semester
55
Vans Footwear Design Intern Resume Examples & Samples
- Develops products based on defined design briefs
- Produces sketches, models, and 3-D models of new and innovative designs
- Communicates with Development and Engineering to meet cost and quality constraints
- Bachelors degree (BA) from a four year college or equivalent in the Industrial Design discipline
56
Design Intern Resume Examples & Samples
- Help design, prototype and create specifications for Shazam’s next big features!
- Maintain Shazam’s polish by creating beautiful, crisp assets
- Participate in User Testing sessions, take feedback and use it to help define the product
- Present you work to the design and engineering teams
- Actively participate in daily stand ups
- Essential: Final year undergraduate studying towards a degree relevant to Digital Design (Digital Media Design, Interactive Media Design, Interaction Design or similar degree)
- You are a brilliant designer who is hungry to take Shazam to the next level!
- You have an impressive portfolio showcasing your UI/UX projects from University, ideally also work from previous work placement/s
- You have a solid understanding of mobile and web design principles
- You are proficient in Adobe Creative Suite Software (Photoshop, Illustrator, Fireworks)
- You are willing to learn and work in a fast-paced technical environment
- You are a full cycle designer: from concept and wireframes through to solutions, polish and asset creation
- You have a demonstrable passion for visual design including composition, layout, information hierarchy, typography and colour
- You are a fast learner with the ability to adapt to new team environments and priorities quickly
- You are a great team player that is energetic and enthusiastic!
57
Interactive Design Intern Resume Examples & Samples
- Support Interactive Manager in researching, bench marking and ideating new ideas for digital marketing
- Update interactive department blog for logging and tracking of projects
- Design and produce marketing emails
- Assist with photo shoots
- Assist in presentation creation using Powerpoint or Keynote
58
Design Intern Resume Examples & Samples
- A passion for creating innovative designs for web and print campaigns
- High skill level in using the Adobe Creative Suite for print and web design
- Basic to intermediate knowledge of HTML and CSS is a plus
- Able to work in fast paced environment
- Effective writing and editing capability
- Interest in new web and digital technologies
59
Color Footwear Design Intern Resume Examples & Samples
- Must be working towards or have recently completed a Bachelor's degree in a design discipline-Industrial, Product, Transportation, Fashion, Architecture, Illustration, or Graphics
- Proficient in Adobe Illustrator, preferably on a Mac platform
- Strong time-management skills with the ability to meet deadlines
60
Assistant Technical Design Intern Resume Examples & Samples
- Measuring garments
- Attending fitting sessions internally and externally with the customer and making detailed notes of the fits
- Translating the fitting sessions and fit comments accurately onto the patterns
- Communicating to overseas factories on correcting the fit and construction of the product
- Major in Technical Design Preferred
- Previous Internships in Tech Design Preferred
- Ability to use Microsoft Office 2003 or greater, especially Excel; Adobe Photoshop, Adobe Illustrator, Adobe Acrobat Professional
- PLM, PDM Experience a plus
61
Physical Design Intern Resume Examples & Samples
- Work with a team of engineers involved in embedded software development for the product line
- Work on one or more phases of software development from design and implementation to unit testing and system integration
- Assist senior team members in designing and writing a layer of software (in C and pearl scripts) which will interface with various VM hypervisors
- Learn and Apply TLM (top level module) in physical design flow
- Perl/Tcl script work
- Spreadsheet management
- 28nm PD tool/flow execution
- Excellent programming skills and knowledge of C/C++, Perl, UNIX operating systems, virtualization, and networking
- Exposure to Linux/Unix internals, virtualization internals (Xen, VMWare or kvm or similar)
- Bachelor’s degree or higher in electrical engineering with concentration in architecture, VLSI and software systems
- Student must sign a non-disclosure agreement / adhere to guidelines on information release for Co-Ops
- Student and University must agree to accept Ericsson's standardized student performance evaluation form for any requirements to complete course credit
- Must have a minimum cumulative GPA of 3.0
62
Web Design Intern, Spring Resume Examples & Samples
- Work with the marketing/promo teams to create design assets such as banner ads, social media graphics, e-mail campaigns, and landing pages
- Proficient understanding Adobe Photoshop and Illustrator, specifically use the of Smart Objects, the pen tool and Save For Web functions
- All candidates must be available during the months of January - April for the 12-week program
- Resume must outline other internships, degrees earned, work experience, related clubs and organizations, current major course of study, expected graduation date and extracurricular activities
- 2 completed year towards a BFA, or Associates degree in Graphic, Communication, Web, or Digital Design from an accredited school. Talented candidates with 1 completed semester will be considered
63
Design Intern Resume Examples & Samples
- Partners with cross-functional team leaders for a well-rounded learning experience
- Participates in company-wide initiative meetings/agendas with supervisor
- Presents summer-long project to the Executive Team in a 5 minute presentation
64
Technical Design Intern Resume Examples & Samples
- Support daily work flow to adhere to development and production schedules
- Responsible for sample tracking, preparing samples prior to fittings, and overall maintenance of the organization of the Technical Design samples
- Assist in reviewing samples and design packages to confirm that they meet brand specifications
- Assist with fit comments to be sent to vendors/factories for approval and/or corrections
- Assist in confirming label and marketing placement instructions for conformance to standards
- Maintain consistent fit standards set by The Limited
- Adhere to The Limited standard operating procedures (SOPs)
- Establish and maintain strong relationships with cross-functional partners
- Participate and complete intern project (to be assigned by supervisor) and present 9 week project to the Executive Team in a 5 minute presentation
- Currently in college to acquire an Associate or Bachelor’s degree in Fashion Design / Technical Design
- Rising senior status (entering final year of school
- Basic understanding of garment engineering and construction
- Self-motivated and eager to learn
- Organized, accurate and articulate
- Working knowledge of Outlook and product management system
65
UX Design Intern, Mobile Resume Examples & Samples
- Help define the end-to-end user experience and strategy of mobile projects in collaboration with the team and other Blizzard departments
- Collaborate with our research team to validate and iterate on your design solutions through feedback sessions, usability lab testing, live testing, etc
- Help drive design projects through research, execution, implementation, QA testing, launch, and ongoing support
66
UX Design Intern, Platform Web & Mobile Resume Examples & Samples
- Understand the behavior and motivations of our users through the collection and review of analytics data, competitive analysis, interviews, A/B testing, and other details gathered by dedicated Blizzard research teams
- Adapt product experiences to different audiences based on their needs and the devices they use (feature personalization, responsive design, accessibility, etc.)
- Build close relationships with program managers, engineers, user researchers, content strategists, and quality assurance analysts to maintain strong project and team alignment
67
Digital Design Intern Resume Examples & Samples
- Must be proficient in Photoshop
- Candidate should have exposure to typography, identity design, interface design or other creative disciplines
- Experience with Responsive Design is a plus but not mandatory
- Understanding of social media and trends is a plus
68
Design Intern Resume Examples & Samples
- Sourcing for fabrics and trimmings
- Sewing samples and toiles
- Communicative English both verbal and written (knowledge with polish is also a plus)
- Flexible in regards to working shedule
- Great attention for detail
- Familiar with InDesign and Photoshop
69
Fashion Design Intern Resume Examples & Samples
- Pattern cutting
- Sewing samples
- Developing textils samples
- Support in collection creation
- Fashion design student or textile student
- Good knowledge of sewing
- Passionately interested in art and fashion
- Independent worker with a high sense for crafts
- On time, stress resistant and responsible character
70
Software Design Intern Resume Examples & Samples
- Perform Continuous Analysis and Requirement Handling
- Produce good quality thesis
- Additional Requirements, Physical Demands, Region/Local Specifications
- Communication Skills
- Coaching & Mentoring Skills
71
Instructional Design Intern Resume Examples & Samples
- Author help articles, internal communications content and paper or web-based training materials
- Use graphic design skills to assist in the design/ development of job aids
- Share knowledge and experience with current trends related to technical writing, knowledge management, adult learning, and training design
- Course emphasis on Communications/Adult Learning/Education/Instructional Design
- Energetic, driven, eager to learn
- Knowledge of content authoring and graphic design tools such as Photoshop, Captivate and Articulate highly desirable
- Undergraduate Seniors or Post-Graduates only
72
Design Intern Resume Examples & Samples
- Junior or senior in college in a related field, or portfolio school student
- Ability to think creatively and enthusiasm for creating great creative work
- Solid communication skills
- Team player mentality and a positive attitude
- Ability to jump in and help however you’re needed
- Sense of humor is a big plus
73
Experience Design Intern Resume Examples & Samples
- Contributing to creative solutions
- Defining ideal user interactions and experiences
- Organizing content and providing direction for content development and governance
- Analyzing requirements from the user’s point of view
- Authoring user stories
- Assisting in scrum rituals
- Designing UI components and templates
- Junior or Senior in college in a related field (such as Psychology, HCI, UCD, Digital Media, or Liberal Arts)
- Attention to detail and willingness to ask questions
- Overall knowledge of the experience design field
- Ability to pivot rapidly
- Comfortable working in a Lean/MVP fashion
- Interest in digital and technology required
74
Software Design Intern / Co-op Resume Examples & Samples
- Develop and test embedded firmware to be used on products sold globally to millions of people
- Develop and test PC tools to support engineering and manufacturing
- Experience various aspects of the product development life cycle
- Learn how to test and document the use of our products to facilitate beta testing
- Create consumer applications and testing tools for various platforms including Android and PC
- Work on Android services and System components distributed globally on tens of millions of flagship Android phones
- Develop and test embedded firmware to control ANT wireless communication on various products and platforms from cell phones to fitness sensors
- Collaborate on the creation of wireless communication standards for ANT+ profiles
- Support internal and external customers with resolving technical issues and questions
- Completed coursework in Computer Science, Electrical/Computer/Software Engineering
- Strong academics (cumulative GPA greater than or equal to 3.0)
- Relevant experience and/or training in programming languages such as C, C++, C# or Java
- Knowledge in the use of debuggers, emulators, simulators and logic analyzers
- Mobile OS development (iOS and Android)
- Previous embedded design experience using MSP430, ARM Cortex M Series, Arduino, etc
- Interest in fitness and wireless technologies
75
UX Design Intern Resume Examples & Samples
- Enrolled in an accredited program with a minimum of 3 credits working towards a bachelors, masters, or PhD
- Availability for a full-time or part-time work (min 20 hours/week) during a traditional school semester, quarter, or trimester
- Student must sign a non-disclosure agreement / adhere to guidelines on information release for co-ops
76
Product Design Intern Resume Examples & Samples
- Develop concepts and communicate ideas
- Collaborate with product teams, strategists, developers and internal clients to create digital product designs that meet business goals
- Create different levels of design documentation, including: sketches, user flows, wireframes, and final visual design deliverables along with user interaction specifications
- Articulate the rationale of design from both a user-centered focus and business perspective to both internal and external stakeholders
- Strong visual and interaction design skills
- Working knowledge of Photoshop, Illustrator, and Sketch 3
- Experience in designing responsive web initiatives using pattern design fundamentals that can scale from desktop to mobile
- An online portfolio that demonstrates a passion for great design and shows stylistic breath, attention to detail, and usability
- Strong organization skills and attention to detail
- Ability to work and adapt in a fast paced environment
- Sports fan preferred
77
Product Design Intern Resume Examples & Samples
- Working towards Bachelor’s degree in computer science or MIS;Junior Preferred or a technical Design related major alternatively
- 1-2 years experience in technology academic project related experience
- Agile development skills
- Multitasking and prioritizing skills
- Effective problem solving skills
- Ability to estimate accurate task duration and meet schedules
78
Design Intern, Savane Resume Examples & Samples
- Perform market and trend research
- Aid with fitting sessions and fabric selection
- Facilitate and organize samples
- Creating design presentation boards and maintain development boards
- Assist with sketches and fittings
79
Merchandising / Design Intern, Cubavera Resume Examples & Samples
- Put together a small assortment and do formal merchandise and design review
- Analyze sales and trends in order to assist in building stronger line assortments
- Assist in the design process by attending internal Fall '17 line reviews with design, merchandising and sales
- Analyze the competitive market in order to ensure pricing and brand positioning is in line with the competition
- Perform trend research as needed
80
Summer Technical Design Intern Resume Examples & Samples
- Measure all Fit and TOP samples
- Prepare paperwork for fittings
- Attend Fittings
- Work with the Tech team to keep sample closets organized. Organizing samples by season, boxing up out of season samples for distribution to charities or sample sale
- Develop skills on the Optitex computerized pattern making system. Digitize patterns to be sent overseas via email
81
Web Design Intern, Summer Resume Examples & Samples
- Be able to work independently on various weekly sale campaigns supporting comic issue releases
- Strong understanding of Typography and Grid systems
- Must have an online portfolio displaying relevant digital graphic orweb design work
- Please note: This is not an illustration/drawing role
- Cumulative GPA of 3.0 or higher
82
Asic / Layout Design Intern Resume Examples & Samples
- Knowledgeable in all aspects of deep submicron ASIC design flow
- Good communication skills, strong interpersonal skills and the flexibility
- Familiar with Front-End EDA tools is a plus
- Familiar with Unix/Linux environment and good at scripts
83
User Interface Design Intern Resume Examples & Samples
- A strong portfolio documenting a significant body of work and a well-developed visual aesthetic
- Substantial experience with standard visual design applications (i.e. Photoshop, Illustrator, Fireworks, Freehand)
- Technical excellence with Director and/or Flash prototyping tools including fluency with Lingo
- Strong oral and written communication skills and the ability to describe and support design ideas
- Knowledge of 3D or media production processes desirable
- All application materials must be submitted by Tuesday, March 1, 2016
84
Design Intern Resume Examples & Samples
- Student or recent college graduate
- Have a portfolio of work available upon request
- Excellent writing and communication skills
85
Print Design Intern Resume Examples & Samples
- Be a current student or recent college graduate
- Have experience with Adobe Creative Suites: InDesign, Photoshop, and Illustrator
- Have strong attention to detail
86
UX Design Intern Resume Examples & Samples
- Must be enrolled at a University/College or graduated within the last six months
- We are looking for students majoring in HMI, UI, HCI, and UX ideally
- At least one year of Masters’ degree coursework preferred
- Excellent design and analytical skills,
- Competency in Photoshop and Illustrator,
- Ability to work well in a team setting
87
Web Design Intern Resume Examples & Samples
- Design full range of digital creative across a full range of screen sizes, including on-site banners and experience pages, emails, online advertising campaigns, etc
- Design within site standards to uphold consistent appearance, usability, and functionality for user and seamlessly integrate with existing site creative
- Outline functionality requirements for development and work directly with Web UI team during development process
- Regularly work with designers and leads to execute campaigns
- Occasionally collaborate with designer/lead and photographer on photo shoots
- Assist with identifying available assets for projects
- Assist designers by executing a range of additional site creative based on initial comp for campaign
- Knowledge of responsive design, with a strong focus on mobile
- Desire to learn about e-commerce and digital marketing
- Strong passion for digital art and graphic design
- Pursuing or recently completed a Bachelor’s degree in visual communications or graphic or web design
- Strong portfolio of both print and web projects
- Positive personality and quick to learn new skills
- Well versed in Adobe Creative Suite
88
Events Marketing & Design Intern Resume Examples & Samples
- Work in partnership with a project manager to develop promotional material for the Runner’s World Half Marathon. Write and design strong, results-oriented copy that delivers against marketing strategy, positioning, branding, and sales goals. Write and design for a variety of formats (i.e., Web, posters, and print)
- Help to support on-the-ground Runner’s World Half promotional strategy at events by working with supervisors and independent event contractors to develop custom market solutions to promote the Half-Marathon to external sources
- Collect data and report on event registration to measure success
- Assist in booking talent to appear at the RW Half and Festival. Make travel arrangements as necessary
- Leverage other promotional opportunities for Runner’s World Half as necessary
- Deliver materials on time and on budget
- Exceptional design, written and verbal communications skills
- Background/coursework in marketing, graphic design, and event planning
- Experience with Macintosh in InDesign and Photoshop
89
Watson Design Intern Resume Examples & Samples
- Collaborate closely with product managers, designers, and development to take projects from business requirements to general availability
- Demonstrate interest and enthusiasm for technology and for IBM, and strong quantitative and qualitative analysis skills
- Show strong interpersonal, communication and negotiation skills Embrace a fast paced, collaborative environment
- At least 2 years experience in Presenting Design solutions
- At least general knowledge in Agile principles and methodologies
- At least 1 year experience in Agile principles and methodologies
90
UX Design Intern Resume Examples & Samples
- Create prototypes for A-B testing of proposed designs
- Participate in UX and visual design brainstorm sessions
- Create icons and visual assets for production
- Liaise with design team and other internal teams
91
Summer Store Design Intern Resume Examples & Samples
- Help to manage our Global Fixture library
- Update existing CAD and sketch-up drawings
- Develop Store fixtures
- Develop Design Intent packages
- Create 3D models of architecture and fixtures in sketch up and Cad 3D
- Assist in developing presentations in Indesign
- PC proficient in Excel, Word, PowerPoint
- Proficiency in Adobe Suite (InDesign, Photoshop, Illustrator)
- Experience with CAD and Sketch Up
- Studying Architechture is a plus!
- All candidates must upload a portfolio or design samples
92
Design Intern Resume Examples & Samples
- Must be a junior or senior and currently enrolled in an accredited college/university
- Must be studying a major related to position applying for
- Must know Photoshop and Illustrator
- Understanding of the Lucky Brand brand and an enthusiastic willingness to learn
- Microsoft Office Programs
93
Production Design Intern Resume Examples & Samples
- Creating and implementing efficient templates
- Organizing messy files from less-structured designers (it happens)
- Optimizing your workflow through use of shortcuts, actions, and reusable elements
- And much more (no coffee-fetching skills necessary)!
94
I&R Market Analysis & Web Design Intern Resume Examples & Samples
- Support in preparation of innovation specific documents for effective communication
- Support in the mission of open innovation and co-develop a web interface to enable the mission
- Participate in team meetings, capture the commentary to synthesize opportunities to further the innovation mission
- Perform web searches and market report reviews to track market and business trends that impact Buildings market
- Co-develop business cases for down-selected innovation opportunities
95
Instructional Design Intern Resume Examples & Samples
- Collaborate with Content team to create graphically appealing and engaging interactive activities and eLearning courses
- Create draft scripts and storyboards and help facilitate the feedback process with clients
- Use the eLearning authoring software, Articulate Storyline, to build eLearning modules/courses
- Develop the content delivery strategy for online learning modules
- Assist with pilot course implementations and evaluations.
96
Digital Design Intern Resume Examples & Samples
- Bachelor degree or above in EE, communication and Information system, control system, etc
- Basic knowledge of Communication systems
- HDL design ability is a plus
- Excel script competence is a plus
- Labview development competence is a plus
- Quick to learn and adapt
97
Design Intern Resume Examples & Samples
- Passion for social media and mobile marketing a must; Prior internship experience in similar capacity preferred
- Strong leadership, collaboration and interpersonal skills
- Ability to work in a team-oriented environment
- Good attention to detail, excellent spelling and grammar
- Ability to manage multiple projects simultaneously and meet deadlines
- Strong research skills across platforms and channels
- Proficiency with Microsoft Office products
- Design background and/or experience with Adobe Creative Suite (preferred, not required)
98
Industrial Design Intern Resume Examples & Samples
- Works closely with the Product and Industrial Design team to accomplish the following
- Acts as an internal industrial design resource for the Pacific Cycle mass products, design product components, frames and bicycle parts and accessories with the direction and assistance of product managers product designer, executives and vendors
- Conceptualize and build prototype samples and new platforms
- Keep products current with new and developing trends in the area of design and development
- Develop and maintain ID area
- Assist with product development and product management as needed
- Follow internal controls and company policies as set by Pacific Cycle and job function
- Contributes to the success of Pacific Cycle Inc. by leading or assisting with other projects and tasks as assigned
- Contributes to the success of company by assisting with or leading other projects and tasks as assigned
- Must possess or be in pursuit of Bachelor’s degree in industrial design
- Experience with Adobe Suite
- Possess high quality drawing skills
- Understanding of manufacturing processes
- Knowledge of MS Office applications required
- Understanding of the product development process required
- Ability to work in a team environment required
- Excellent communication skills required
- Able to conceptualize the ideas of others and your own
- Must be willing to accept limited term internship
- Experience in bicycle product or sporting goods development beneficial
- Understanding of Solid Works and AutoCad or similar 3D software programs
99
Instructional Design Intern, Corporate Resume Examples & Samples
- Exceptional written communication skills
- Positive attitude and the ability to be proactive, resourceful, and flexible
- Detail-oriented and excellent follow-through skills
- Experience with leveraging multimedia (graphics, audio, video) to effectively communicate
- Extensive working knowledge of Microsoft Office Suite
- Experience with developing instructional design deliverables and/or professional writing
- Captivate and/or Articulate development experience
- Pursuing a degree in Instructional Design, Communications, Journalism, Education, Human Resources or a closely related field
100
Design Intern Resume Examples & Samples
- Commitment Duration: 10 weeks, 2-3 days a week (start date flexible End of May / Early June)
- Help design and develop collateral and concepts to execute design direction
- Touch on many different types of design: create digital assets, print collateral, and more
- Contribute to ongoing updating and maintenance of our print collateral and digital apps
- Enrolled in a four year college or university in Graphic Design or related discipline
- A PDF or digital portfolio is required
- Attention to detail and impeccable file management/organization is required
- Proven proficiency with Photoshop, Illustrator, InDesign
- Knowledge of additional Adobe software such as Adobe Edge or After Effects is a plus
- Actual front end design for app development experience/knowledge is a big plus
- Comfortable communicating with, taking input from, and presenting to a variety of people, including senior management
- Ability to self-manage
101
ATV Design Intern Resume Examples & Samples
- Strong portfolio of visual design
- Assist Art Director with deliverables as needed and collaborate with marketing team and other departments to brainstorm ideas for digital and print creative
- Ability to collaborate effectively and work in team as well as individually
- Willingness to tackle projects in a variety of media
- Verbal and written communication skills – comfortable explaining design
- Knowledge in fundamental visual, typographic, and print and electronic design disciplines and principals
- Ensure brand consistency in all work produced
- Maintain cultural awareness of the design landscape with respect to current and future trends
- A solid understanding of design functionality, interaction, site architecture, user interfaces, and navigation
- Creating and/or manipulating graphic elements (photos, logos, themes, etc.) for use in-house and internal marketing communications effort
- Handling various special requests related to graphic design services on an as assigned/as needed basis
102
Design Intern Resume Examples & Samples
- Desktop production, design and art direction under the supervision of a senior designer
- Assist other designers in concepting big ideas or supporting them in image selections
- Ability to be self driven, while still working in a collaborative team environment
- Demonstrated skills in type design, layout execution and creative thinking
- Creative work can range from print and collateral pieces to digital banners, email design and video storyboard or video animation
- Experienced in Adobe Creative Suite: Adobe InDesign, Adobe Illustrator, Adobe Photoshop
- Additional experience in Adobe After Effects is a plus
- Current college or graduate student who is enrolled in third year of studies or later with a focus in Digital or Graphic Design or related field. Recent graduates with appropriate skills and relevant experience will be considered, as well
- High level of organization and ability to adhere to all deadlines
- A positive attitude
- Must display the maturity required to work in a casual, informal office setting
103
Service Design Intern Resume Examples & Samples
- Meet with the Department Leadership to plan the week ahead, provide information and deliverables to be more productive and effective
- Support the team’s operational discipline: optimise meeting cadence, ensure we have clear minutes, action items and follow-up after meetings
- Help plan leadership events such as team offsites, strategy review sessions and business performance reviews
- Organise a calendar and keep track of multiple projects at the same time
- Organise and gather information from various teams and meetings and reports that information, along with recommendations, to leadership team
- Track progress against the plan and update project documentation; prepare project presentations/status updates
- Studying for a Bachelor’s / Masters Degree
- Entrepreneurial, able to take the initiative and work with minimal supervision
- Understands project management principles including the ability to break down work and plan and prioritize work to meet deadlines
- Has strong problem solving, planning and organisational skills and can manage multiple tasks from start to finish
- Organized, with great attention to detail and able to deal with complexity and ambiguity
- Team player and works well with others
- Customer service orientation; good interpersonal, organizational and communication skills
- Excellent knowledge of the English language, spoken and written skills
- Good working knowledge of standard office packages such as Word, Excel, Powerpoint, Sharepoint
104
Exp Design Intern, X Entertainment Resume Examples & Samples
- Digital/rapid prototyping of team concepts
- Provide support to design explorations as needed/available
- Provide support to pitch decks and conceptual presentations
- Perform asset production and spec'ing work for development active sprint work
- Help develop a team an ongoing team portfolio template
- Currently pursuing a Bachelor's or Master's degree from a United States-based college or university
- Major: Visual Design (Graphic design with a digital concentration), User Experience Design, Product Design, Interactive Design, Human Computer Interaction or a related field
- Formal Training or education in the fundamentals of graphic and digital design
- At least one prior hands-on internships within startups or digital agencies
- Significant training in iconography, symbol design, and data visualization
- Advanced typesetting and typographic design skills
- Strong ability to multitask and execute against multiple projects running in parallel path
- Advanced skills with Adobe Creative Suite and Apple Keynote
- Online portfolio required
- Well versed in Word, Excel, and PowerPoint
- Ability to work on multiple projects simultaneously
- Desire to enter the Media / Technology / Advertising industry upon graduation and passionate about innovation
- Authorized to work in the United States of America
105
Design Intern Resume Examples & Samples
- Why you are applying for this role
- How your degree relate to the internship that you are applying for
- What you hope to gain from your experience with Ticketmaster
106
Digital Design Intern Resume Examples & Samples
- Develop and iterate upon user interaction concepts through wireframe mockups, storyboards, and/or prototypes (paper and/or online)
- Concept and design innovative end-user media experiences
- Track progress and work with Technical and Creative Design Managers to resolve technical and design challenges
- Work closely with ad products and ad tech on implementation issues and resolve all questions of ad product behavior
- Implement relevant industry standards and best practices into TWC advertising product design and development process
- Assist in usability testing/user research activities
- Currently enrolled in a Bachelors degree in Digital Graphic Design, Advertising, User Interaction Design, Information Design, or a related discipline
- Experience with digital advertising, interaction designer or user interface designer
- An exceptional portfolio showcasing innovative design solutions for web/email/display adv/application design
- Excellent design skills and experience with production graphics, image manipulation, content layout grids, typography and color
- Experience in compositing images from multiple assets
- Experience with flawless re-touching techniques
- High attention to detail and able to solve visual design challenges
- Strong understanding of software UI mechanics, navigational constructs, and iconography
- Strong writing and presentation skills
- Experience designing interactive experiences for IOS, Windows and Droid Devices
- Experience with user-centered design methodologies and processes
- Ability to interact effectively with other design professionals as well as developers
107
Design Intern Resume Examples & Samples
- Design and execute outdoor advertising in a variety of formats, both independently and as a team
- Work with Marketing & Creative Team to fulfill creative requests and other long and short-term projects
- Help to coordinate client leave-behinds and Sales presentations
- Prepare artwork for production and send files to printers/vendors. Create and maintain effective working relationships with vendors including printers, publications, etc
- Gather images from online stock sources to meet style and goal of campaigns
- Utilize and maintain computer equipment, printers, scanners and supplies. Maintains Macintosh OSX workstation according to corporate standards
- Follows policies and procedures set up by the local market management and corporate directives. Participates in company training, safety meetings and other meetings/events as directed
- College level in graphic, commercial or creative arts. Experience in an industry setting a plus
- Excellent graphic design and conceptual skills to include a solid understanding of design, layout and type usage
- Highly organized and detail oriented with the ability to proof own work, work under pressure, handle simultaneous projects and meet deadlines in a fast paced environment
- Able to demonstrate strong written and oral communication skills as well as good spelling and grammar skills; demonstrated leadership skills
- Marketing experience and knowledge of print production processes helpful, but not required
- Bend, stoop, stand, sit, operate office equipment such as keyboards, copiers, telephones, fax machines, printers, etc
- Ideal candidate will have knowledge of effective advertising techniques and trends. He/she must be proficient in all current design programs (Adobe Creative Suite (InDesign, Photoshop, Illustrator, etc) on a Mac platform. He/she must have strong interpersonal communication and time management abilities. Position is part-time/hourly. Requires minimum 24 Hrs/Week
108
Instructional Design Intern, Corporate Resume Examples & Samples
- Experience with Captivate and/or Dreamweaver
- Graphic artist skillset - able to use Adobe Creative Suite and MS Office Suite
- Graphic design expereince preferred
109
Pattern Cutter / Design Intern Resume Examples & Samples
- Pattern Cutting
- Toiles, Sewing
- Fabric and trim sourcing
- Visiting factories
- Production duties
- Assist backstage during LFW
110
Design Intern Resume Examples & Samples
- Strategic problem solving skills
- Flawless execution of design ideas
- Ability to bring smart ideas to life
- Passion for detail and ability to handle multiple projects
- Effective collaboration with others in the design and production process
- Supports/facilitates the mandatory adherence to ISO9001 requirements, processes and procedures
- Basic knowledge of the production process
- Strong technical skills and proficiency with current Adobe Suite
- Superior personal organization and time management skills
- Applicant must have completed junior year of college or later
- Applicant must be able to work 40 hours/week during GTB’s regular business hours throughout the 10 week program
- Professional Training
- Group project (assigned the first week and presented during week 10)
- Road Rally (team-building activity)
- Lunch-and-Learn Sessions
- Job-Shadowing Opportunities
- Personal Branding Workshop
- Recruiter Session
- Community Service Day
- Fun Outing
111
Apparel Design Intern Resume Examples & Samples
- Assist the Apparel Design Team in various day-to-day activities
- Contribute to building design boards and presentations
- Assist in building tech packs
- Contribute to concept discussions
- Working toward or recently completed BA degree in Apparel Design, and/or Fashion design or related area
- Expertise with Adobe Illustrator, Photoshop, Sketchbook Pro, Rhino/3D a plus
- Candidate must be energetic, have a positive, can-do attitude and experience working in a team environment
112
Design Intern Resume Examples & Samples
- Sales & Marketing, Retail Teams, Human Resources, Accounting & Finance, Retail, IT
- High School Diploma or GED
- Applicants must be attending any accredited, two or four-year degree-granting institution or an accredited Graduate Master’s or MBA program, in the United States
- Applicants must be senior status or have graduated during the previous year
- Applicants need to have a minimum 3.0 GPA
- Applicants will be required to submit at least two personal letters of recommendation
- Applicants must be working towards a Business or Business related major. Some applicable majors are
- Responsible for leading or assisting in the completion of business unit projects as assigned
- Assist the business unit team with day-to-day work that covers activities across the division
- Assist in developing new systems, reports and analytics to support business unit needs
- Audit/review documents submitted for completeness and accuracy
- Work with others in the business unit group on initiatives aimed at process improvements
- Strong prioritization skills
- Excellent written communication and verbal communication skills
- Team building Skills
- Well-organized, detail-oriented, and able to handle a fast-paced work environment
- Ability to interact in a courteous, helpful, and professional manner
- Strong computer skills including proficiency with Microsoft Word, Excel, PowerPoint, Access, Outlook, and web-browsers
113
Labs-rf & Millimeter Wave IC Design Intern Resume Examples & Samples
- Must be pursuing a PhD in Electrical Engineering
- Minimum of 1 year experience with researching analog or radio-frequency integrated circuits for wireless communications
- Familiarity with design and layout on Cadence design tool suite
114
Structural Physical Design Intern Resume Examples & Samples
- Methodology development for structural physical design
- Innovation and efficiency improvement
- Familiarity with Very Large Scale Integration (VLSI) Complementary Metal-Oxide Semiconductor (CMOS) logic circuit design
115
Software Design Intern Resume Examples & Samples
- Background in mathematics and computer science
- Good knowledge of C/C++
- Basic knowledge of robotic algorithms (such as, localization, path planner)
- "Google summer of code" experience is a plus
116
Circuit Design Intern Resume Examples & Samples
- Knowledge of VLSI circuit design fundamentals (CMOS, high-speed digital circuits, low-power digital circuits; concept of timing analysis and power optimization)
- Basic understanding of clocking concepts
- Exposure to circuit design tools such as, SPICE (HSPICE or PSPICE)
117
Hardware Design Intern Resume Examples & Samples
- Accomplish assigned design or validation tasks, such as schematic design, tools development, design review, validation and issue debug
- Organize meeting, collect information, analysis data and provide summary report
- Undergraduate or graduate students, or within 2 years after graduated
- Major in Electronic Engineering or other relevant engineering majors
- Good Mandarin and English communication skills are required, in both verbal and written
- Has board design, embedded programming, layout, debugging experiences is a plus
- Has the logic design experience (CPLD or FPGA design) is a plus
118
Design Intern Resume Examples & Samples
- Develop outstanding designs, animations, and illustrations for branded content on BuzzFeed
- Execute projects from conception to completion
- Participate in brainstorms with Designers, Illustrators, Animators, and Creatives
119
Merchandise Presentation Design Intern Resume Examples & Samples
- Proficiency in adobe creative suite (Illustrator, In Design and/or Photoshop)
- Ability to handle multiple tasks on tight schedules
- Previous retail experience
- Familiar with Disney’s brand and culture
- Transcript
- An electronic portfolio is required as part of this application process. A URL link listed on your resume for an online portfolio is preferred, however it may also be uploaded along with your application and should not exceed 3MBs.**
120
Interaction Design Intern Resume Examples & Samples
- Understand and analyze user needs
- Research markets and competitors
- Work with engineers to build products
- Build and launch new products and features
- Define product vision and future direction
- Working on BA/BS degree in Computer Science, HCI, or Design
- Demonstrated capacity for developing and understanding strategy
- Strong technical abilities
- Interest in creating and analyzing products
- Excellent organizational and analytical skills
121
Data Center Hardware Design Intern Resume Examples & Samples
- Join project design team, support the board design function as the technical intern
- Setup and accomplish intern training plan with Mentor's help
- Major in Electrical Engineering, computer science or other relevant engineering majors
- Able to work in Intel office at least 3 days a week for more than 6 months
- Has solid HW design practice in high speed signal board PCB design, embedded programming, layout, debugging experiences is a plus
- Technical experience with digital components is a plus
- Experience Perl or Python to develop test tools is a plus
122
Photography & Design Intern Resume Examples & Samples
- Organize, edit, catalog, and add metadata to FEWS NET photographs to build a comprehensive library
- Transfer the modified photo library to an online platform
- Assist with designing FEWS NET communications products
123
Instructional Design Intern Resume Examples & Samples
- Passionate about learning about the field of instructional design from an educational institution perspective
- Demonstrated experience (through other internships or coursework) with instructional design and/or development
- Technical Writing experience
- Fast learner, eager collaborator; meticulous attention to detail
- Demonstrated ability to be both a team player and an independent hard worker
- Outstanding organization, communication, leadership, and interpersonal skills
- Willing to devote 20 hours (on average) per week for the duration of the internship
- Experience in the design and development of online courses
- Knowledge of learning management systems
- Some knowledge of online meeting software (WebEx)
124
Product Design Intern Resume Examples & Samples
- You’ll help design flows and experiences that are incredibly simple and smart
- You’re fascinated with how people engage with software both in their personal lives and at work
- You're inspired by partnering with product designers, product managers, engineers, researchers and content strategists to help oversee the user experience of a product from conception until launch
- You take broad, conceptual ideas and assist in turning them into something useful and valuable
- You give and solicit feedback from other designers in order to continually raise our bar for quality
- You understand the value of building and maintaining a living style guide
- You're hyper-organized, practical, and love finding new ways to do things faster and better
- You're a rising college senior, enrolled in a full-time undergraduate degree program
- You have the ability to think at a high level about a complex, social product, and explain the rationale for new features and changes
- You have a portfolio of design projects, with the ability to design products systematically, interactively & visually
- You have experience with visual design, especially in the construction of visual hierarchies on layouts, and attention to detail on typography, spacing, and colors
- Must be enrolled in school and must be able to recieve course credit
125
SOC Logic Design Intern Resume Examples & Samples
- Must be pursuing a degree in Electrical Engineering or Computer Engineering
- Experience with Verilog RTL logic design
- Software Skills: Java, C/C++, perl, or other programming language
126
Human Centric Design / Design Intern / Coop Resume Examples & Samples
- Gaining hands-on experience through assisting in user research and design activities
- Assisting in the generation 2D & 3D design concepts that meet stated project objectives
- Participating in other facets of product development such as concept generation, prototyping, data analysis, etc. as much as project work load and the candidate’s abilities allow
- Strong interest in medical device development
- Desire to improve the lives of others through meaningful application of design
- Experience in using Adobe Creative Suite, Sketchbook Pro, 3D CAD software (preferably SolidWorks), and Keyshot or similar visualization software to effectively communicate design intent
127
UX Design Intern Resume Examples & Samples
- Working toward a degree in Human-Computer Interaction, User Experience Design, Human Factors, Product Design, Visual Design, or a related major field
- Strong conceptual and strategic thinking skills, supported by excellent written and verbal communication skills, with attention to details and practical clarity
- Solid understanding of user interface design principles and best practices
- Passion for creative arts, technology, and people
- Strong organization and prioritization skills
- Collaborates well and thrives in an iterative and agile workflow, tuning designs within a rapidly changing environment
- Productive through self-motivation or by following direction, per needs
- Experienced with—or extremely keen to learn—a variety of UX design software tools
- Understanding or familiarity with front-end programming, HTML, CSS
128
User Experience Design Intern Resume Examples & Samples
- Collaborate with other designers in the department to generate innovative designs and ensure consistency within and across products
- Develop storyboards, mockups, and/or prototypes to communicate design ideas
- Gather user data from surveys, focus groups, and/or usability testing to help inform your designs
- Work closely with product management to validate your designs meet the users’ needs and with the development team to ensure that your solutions can be implemented
- Generate and maintain design specification documents
- Work closely with the accesibility team to ensure that your designs can be accessed by users of all abilities
- Students must be enrolled in an accredited college or university seeking a degree in a design, engineering, computer science or psychology in a US based school
- Microsoft Office. (Word, Excel, Outlook, PowerPoint, and/or SharePoint)
- Experience with Adobe Photoshop, Illustrator, lnDesign
- This is a 10-12 week internship where you will actually be a real person so you have to be able to work for all of Summer 2017
- This is a summer internship. To be eligible, students must be enrolled in a degree seeking program and not be graduating prior to the beginning of the internship
- Emails. You have to know how to write them
- Talking. You have to do it effectively
- Mistakes. Catch them. If you don’t, learn from them
- Technology. Embrace it
- Teamwork… With a dash of independence
- Leadership. You sit in the front row of the class
- Volunteering. You care about more than just you
- Prior work or internship experience in design
- An interest in technology, specifically in big data analytics
- GPA of 3.0 or higher. Going to class is #vital
129
Design Intern Resume Examples & Samples
- Work closely with Marketing Team to define objectives, strategies and plans, ensuring alignment with company and team goals
- Support the Marketing Team on details of marketing communications components for assigned accounts and projects – including sourcing assets, facilitating approvals, coordinating with agencies / designers, managing implementation logistics, etc. under direction of Sr Managers
- Assist in the coordination and logistics of product supply samples as well as maintain inventory, order necessary supplies and equipment for department needs
- Work with Marketing Team to ensure brand/product information is accurately updated in Excel documents, online applications, and PowerPoint presentations
- General Market Prep – print + maintain accurate linesheets; steam/prep samples; service client beverage & food needs
- Excellent communication skills (including writing, editing and proofreading) are essential
- Ability to prioritize and execute multiple assignments while working under tight deadlines
- Ability to work within a team environment
- Basic knowledge of industry-standard software, (Fashion GPS, Excel, PowerPoint and Word)
- Must be enrolled in a 4-year college & be eligible for school credit in an industry related field
- Prior experience working in the fashion industry a plus
130
Product Design Intern Resume Examples & Samples
- Supporting the design direction of projects ranging from mobile web to desktop design, consumer-facing to seller tools
- Assist fellow designers, illustrators, engineers, and product managers to solve problems, inspire creativity and create the future of custom apparel creation tools
- Pragmatically help provide solutions to problems, based on data and research
131
UX Design Intern Resume Examples & Samples
- Lead a project with passion, confidence, and positive energy
- Deal with ambiguity and find a path forwards to deliver products and services in a complex multi-stakeholder environment
- Manage the innovation process by taking initiative to push technology and ideas to new levels
- Actively participate in research, definition, ideation, prototyping, and testing of solutions
- Bring out the best talents of a diverse team of designers, engineers, vendors, and business owners
- Apply both a detail-oriented and birds-eye perspective to projects
- Take risks and tackle problems with a proactive attitude
- Must effectively communicate, coordinate, and collaborate with internal stakeholders, external partners, vendors, and executive leadership
- Bachelor student or Master student
- Project experience in Visual Design, Software Development, Interaction Design, Human Centered Design, Design Thinking, User Research, User Experience Design, Rapid Prototyping
- Must provide and talk through case studies of previous project work
- Student must be actively enrolled in an accredited college/university with a minimum of 3 credit units working towards a bachelors, masters, or PhD
- Availability for a full-time work assignment during the summer
- Availability to working a minimum of 20 hours a week during the academic school year
- Student and University must agree to accept Ericsson’s standardized student performance evaluation form for any requirements to complete course credit
132
Design Intern Resume Examples & Samples
- Learns the basic fundamentals of creating a dynamic, structurally sound point-of-purchase display design
- Learns standard techniques for corrugated or alternative materials merchandising solutions
- Concept Development: Work with sales, design, project management, design management, and/or the client
- Student working toward a BS/BA in Packaging, Design or related field
- Ability to do detail oriented work with exceptional accuracy
- Self motivated and ability to work with minimal supervision
- Ability and willingness to learn quickly
- Excellent computer and organizational skills
- Ability to work within strict timelines
- Creative problem solving skills
133
UX Design Intern Resume Examples & Samples
- Create and design cutting-edge, responsive web and mobile applications
- Research interaction design and technology trends to provide specific User Experience direction and solutions to complex problems
- Collaborate with product leaders to define and design User Experience deliverables (comprised of wireframes, sitemaps, interaction flows, design artifacts and prototypes)
- Conceptualizing ideal user journeys/task flows/scenarios
- Outline functionality requirements for development and work directly with Demandware team during development process
- Participate and provide feedback in usability tests to ensure implementation in development meets design standards
- Basic understanding/working knowledge of coding
- Strong passion for interactive design and the user experience
- Able to take constructive feedback and edit projects as needed
- Excellent communication skills, both written and oral
- Ability to work independently and in a collaborative team environment
- Pursuing or recently completed a Bachelor’s degree in visual communications or User Interface Design (or something similar)
- Strong portfolio of web projects
- Well versed in Adobe Creative Cloud: Photoshop, Illustrator, InDesign, Fireworks
- Detail-oriented, highly motivates
- Candidates will be asked to provide their design/UI portfolio (web work)
- Must currently be enrolled in a university/college degree program or have completed a college degree within the last year
134
Industrial Design Intern Resume Examples & Samples
- Must be pursuing a graduate or undergrad degree in Industrial Design or related field
- Will have completed Junior year at start of Internship
- Able to complete internship prior to graduating
- Must submit a portfolio to be considered
- Minimum 1 year of experience with Adobe Illustrator, Adobe Photoshop, and 3D software (Rhino or Solidworks preferred) Coursework included
- Proven ability to develop products through conceptual sketching, prototypes, model studies, 2D and 3D computer software
- Experience in both consulting & corporate design environments is a plus
- A great can-do, fearlessly positive attitude
- Previous intern experience
135
UX Design Intern Resume Examples & Samples
- This is a paid internship that includes relocation benefits where applicable
- Selected candidates will be invited to interview and participate in a portfolio review with Amazon designers
- You must have a portfolio of your work
- You must be available to work full time for 12 weeks over the summer
- Proficient in the Adobe Software Products
136
Interaction Design Intern Resume Examples & Samples
- Pursuing a undergraduate or graduate degree in User Experience Design, Interaction Design, Human Computer Interaction, Human Centered Design, Experience Design, User Interface Design, or related field
- Must be graduating between Fall 2017 and Summer 2018
- Minimum 6 months of experience with design and prototyping tools such as Adobe Creative Suite, Visio, and Dreamweaver. (Course work included)
- Knowledge of user interface design processes and methodology, particularly as applied to Web-based applications and electronics. (coursework included)
- Works well on a team as an individual contributor
- Knowledge of capabilities and limitations of Web technologies such as HTML, JavaScript, Flash, and CSS
- Excellent communication and organization skills
137
Game Design Intern Resume Examples & Samples
- Pitch creative, innovative, and engaging game features that are designed to improve customer acquisition, engagement, and monetization
- Work with other designers, engineers, and artists to help effectively implement new features and systems
- Analyze gameplay mechanics and feature designs
- Create clear feature documentation, diagrams, and/or prototypes
138
Footwear Design Intern Resume Examples & Samples
- Assist the marketing department with various tasks including trend research, design presentations, technical specification creation and color selection
- Help create trend/story boards under the guidance of product managers
- Assist the categories in completing colorways/material packages for individual projects
- Help create or revise tech/spec packages for footwear and graphics
- Pursuing an undergraduate or graduate degree in Industrial Design, Product Design, Graphic Design, or related discipline
- Graduating between Fall 2017-Summer 2018 and able to complete an internship prior to graduating
- Minimum 1 year of Adobe Illustrator and Photoshop experience a must (coursework included)
- Solid sketching, rendering and visualization skills a plus
- Interested in product design
- Interest or knowledge in footwear
139
Design Intern Resume Examples & Samples
- Assist the head designer in day-to-day tasks and creative copywriters
- Participate in brainstorms with the Creative team
- Develop designs, animations, and original creative for a variety of brands
140
User Experience Design Intern Resume Examples & Samples
- Collaborate with product management and software development teams to ensure user interface design consistency across instrument platforms
- Work with Human Factors and Branding team to create customer friendly consumables packaging; ensure package label contents support customer workflow and enhances efficiency
- Support Human Factors team with usability testing
- Create personnas for Illumina products
- Must be rising junior in a Bachelors program or above, with a minimum 3.2 GPA – both cumulative and major (will be verified by transcripts)
- Preferred major: Interaction and User Experience Design, Engineering, Computer Science or Psychology
- Must have strong communication skills and ability to work effectively in a collaborative environment
- 1-3 years experience with UX principles and practices, design and wireframing/prototyping tools, and personna development is required
- Must be a Team Player and have a demonstrated ability to empathize with different kind of users
- Solid experience with Adobe Photoshop, Illustrator, Balsamiq, Axure, Microsoft Office (Word, Excel, Powerpoint) all strongly preferred
141
Physical Design Intern Resume Examples & Samples
- Programming background/interest
- Unix Scripting skills
- Knowledge of Circuit design techniques
- Computer architecture classes
- Verilog design languages knowledge
- Synthesis, place and route, timing analysis knowledge
142
Industrial Design Intern Resume Examples & Samples
- Available to work for at least 3-4 days a week
- Strong sketching and rendering abilities
- Demonstrated ability in Adobe Photoshop, Illustrator or CorelDraw, Flash, and Adobe Dreamweaver
- Strong Rhino skills and Pro-E preferable
143
Design Intern Summer Resume Examples & Samples
- Excellent organization skills, with the ability to prioritize time-sensitive assignments
- Ability to multitask in a fast-paced environment
- Previous retail experience preferred but not required
- Strong computer skills (Microsoft Office Suite) and ability to quickly learn technical applications and processes
144
Design Intern Resume Examples & Samples
- Master’s student in industrial design, graphic design, or similar
- Previous design experience – particularly web experience
- Experience designing various marketing materials
- Experience in an academic institution or a technology company is preferred
- Lead design process and execution for web presence for the Champaign site and other Huawei projects as assigned
- Assist in designing presentations, reports and other materials
- Assist in designing materials for recruitment opportunities such as career fairs and networking events
145
Physical Design Intern Resume Examples & Samples
- Working on/developing physical design/verification flow & methodology, bring up new tools & methodology into production flow
- Gaining exposures on IP block floor planning, synthesis, CTS and power network design, P&R, STA timing closure, PTPX power analysis, RC extraction, signal integrity, EM/IR drop analysis, DFM enhancement, and LVS/DRC
- Enhance QoR of physical design, and bridge between frontend and backend teams
- Well-versed in UNIX and industrial Physical Design tools will be an added advantage
- Proficiency in TCL/Shell/Perl programming languages would be an added advantage
- Electrical, Electronics, or Computer engineering background
146
Product Design Intern Resume Examples & Samples
- Completion of sophomore year at an accredited university by summer of 2017
- Working toward a degree in Automotive, Product or Industrial Design
- 0 or Higher GPA (based on a 4.0 scale)
- Exceptional design intuition, with strong presentation and design communication skills
- Working knowledge of Alias, Microsoft Office, Illustrator, Photoshop, PowerPoint and/or similar programs
147
Product Design Intern Resume Examples & Samples
- Legally eligible to work in the United States. Scripps Networks is unable to sponsor visas for interns. If you are an international student not already studying in the U.S. on an F-1 or J-1 visa, then you have to go through a university or an outside organization (internship placement agency) that will sponsor your visa
- You must include your anticipated graduation
- Collaborate with the Product Design team in the creation of digital products on emerging platforms across brands (including Food Network, Food.com, Travel Channel, and HGTV)
- Contributing to the planning, conducting, and synthesizing of user research
- Assisting in the planning, facilitation, and documentation of highly collaborative workshops for a wide range of teams
- Managing your own time and workload effectively and efficiently
148
UX Design Intern Resume Examples & Samples
- Build out an internal Concur Labs website that allows employees to explore and stay in the know about projects the Labs team is working on
- Assist with the revamp of the external Concur Labs website
- Design posters and advertisements to be used around the office promoting Concur Labs events and info sessions
- Pursuing a Bachelor's or Master's degree in User Experience, Human Centered Design, Computer Science or similar
- An expert in traditional UX methodologies
- Eager to learn and pick up on new tools quickly
- Likes to work on hackathons and personal projects, always wants to grow as a designer
- More design focused, less developer focused
149
Fashion Design Intern Resume Examples & Samples
- Partake in fittings, cross-functional team meetings
- Sketch flats (front/back/seaming/stitch lines) to put into PLM computer system
- Put prints into PLM system for design purposes
- Fill mini body dolls in Illustrator
- Filing/organizing sample hangings as well as setting up for trend overviews
- Help with design and trend forecasting for future seasons
- Be a part of the overall design process from beginning to end
- Attend design flow meetings
- Attend costing meetings to gain an understanding of how design and product development is budgeted for within a vertical company
150
Technical Design Intern Resume Examples & Samples
- Taking measurements of fit samples
- Preparation for fittings
- Taking part in wear tests and fittings with our fit model
- Help process development of technical package, fit photos, and fit comments
- Use PLM and Illustrator to define measurements and garment details such as fit corrections with photos and others
- Take part in line review and line close for future seasons
151
Industrial Design Intern Resume Examples & Samples
- Product Design: Styling of small parts and components to support projects such as the HRI, MLU, and NMD
- Assistant in Sleeper Concept: (Incinolet, Molle, Safety and Security)
- Graphics Support: Create Posters, Presentations, Layouts, Badges, Logos, Awards, and Gifts, as required
- Modeling Studio Assistant: Procure supplies, packing clay, armature build, studio clean up, as required
- Research: Conduct research of current and future competitive and analogous product, and other research to support both Industrial Design and Product Planning Groups as required
152
Spring Design Intern Resume Examples & Samples
- Excellent design skills, and an eye for brand-appropriate imagery
- Excellent organizational skills, including orderly storage of paper and electronic documents
- Strong working knowledge of Adobe InDesign, Photoshop, and Bridge
- Strong computer skills and working knowledge of standard office software, including Microsoft Office and Adobe Acrobat
- Ability to self-start and manage a complex, challenging, deadline-driven schedule
- Proactive, energetic personality; inquiring, investigative mind
- Interest in health, wellness, fitness, and quality-of-life content areas a plus
- Outstanding oral and written communication skills, excellent interpersonal skills
- Ability to multi-task and learn quickly, good memory, and strong attention to detail
153
UX Design Intern Resume Examples & Samples
- Portfolio with projects showing design process and user-centered design thinking
- Experience in sketching, wireframing, task flows, and information architecture
- Ability to communicate effectively and collaborate with other designers, product managers, and engineers
- Willingness to roll up your sleeves and help out in all phases of the research, design and prototyping
- Visual design skills
- Experience with Adobe Illustrator
- Web prototyping skills (HTML/CSS/Javascript) are a plus
- Currently pursuing a Bachelor’s or Master’s degree in Interaction Design, Human Computer Interaction, or a related field
154
Digital Design Intern Resume Examples & Samples
- Graphic design
- Proficiency with Adobe Creative Suite (Photoshop, Illustrator, InDesign)
- Experience in video editing, animation and motion graphics skills
- Search techniques within social media platforms and on-line applications
- Fluency in Spanish and/or Portuguese
- Digital Marketing internship experience; or equivalent coursework
- Advertising agency experience
- College transcripts (official or unofficial) - Please upload your transcript while completing the online application
- An electronic online portfolio is required as part of this application process. This portfolio must be included as a URL link listed on your resume, any other portfolio submissions will not be considered.**
155
User Experience Design Intern Resume Examples & Samples
- Handle UX/interaction design needs of projects you are assigned to independently or with other designers
- Create project deliverables such as storyboards, flowcharts, wireframes, prototypes, and detailed designs
- Participate in user research, competitive evaluation, and usability testing
- Work with related personnel such as user researchers, usability engineers, industrial designers, and graphic designers to create great product experiences
- Form alliances with people in technical and creative functions to achieve high-quality and consistent delivery
- Provide implementation support to technical teams
156
Design Intern Resume Examples & Samples
- LEVEL DESIGNER / WORLD BUILDER – Build unique and engaging gameplay and story-telling spaces in the Radiant editor
- GAME DESIGNER / SCRIPTER – Develop unique combat encounters and puzzles in our proprietary scripting language
- SYSTEM DESIGNER – Craft unique gameplay content, including weapons, abilities, and social systems
- UX / INTERACTION DESIGNER – Create step-by-step flows for how players engage with game features using a controller. Design game icons to communicate objectives, game states, and mechanics
- Radiant, Unreal, Unity or other 3D package OR
- C, C++ or other non-visual scripting language
157
User Experience Design Intern Resume Examples & Samples
- Work with business clients and technical staff to understand problems and resolve them
- Research, define, document, and prioritize user requirements and functional requirements in partnership with business clients
- Direct responsibility to design and develop UI/UX documentation and digestible design artifacts including personas, user stories, sitemaps, wireframes, task flows, interaction designs and navigation models
- Deliver mock-ups, navigation model prototypes, and interaction designs for demonstration and usability testing
- Assist in usability testing by providing mockups/prototypes, then incorporate testing results into the design process
- Contribute to the development of project sprint plans
- Respond to suggestions for improvements and enhancements and resolve client concerns with applications
- Work cooperatively with creative management and corporate communications to define design parameters that capture and project corporate identity and maximize style, brand identification and visually translate desired impact
158
UX Design Intern Resume Examples & Samples
- Work with minimal supervision to complete the assigned project within schedule and quality targets
- Leverage mentoring available from Software/Quality Engineers, Technical Leads, Architects and Development Management
- On track to Bachelor’s Degree in Graphic Design, Web Design or a related discipline
- Junior to Senior Year or Masters students being considered
- 0+ GPA (3.25 or higher preferred)
- Drive & passion to improve user experience and usability of complex problems
- Ability to collaborate effectively with a group of creative individuals to produce highly polished and professional finished works
- Experience in Adobe Creative Suite
- Analytical problem solving
- Written and oral communication
- Self-starting, but team-oriented
- Taking the initiative with minimal supervision
159
Industrial Design Intern Resume Examples & Samples
- Understand HP’s portfolio, our users, our business, our markets
- Understand global macro trends, emerging technologies
- Identify needs & opportunities
- Generate advanced concepts and ideas: new ways to do things; new products; new form factors; new experiences that don’t exist yet
- Define the exciting future of computing
- Safe-guard patentable inventions by documenting them visually and with well written supporting narrative, and submitting these as patent disclosures on behalf of HP Inc
- Be a great storyteller: tell exciting stories that inspire
- Trace new paths towards innovation & design excellence for HP Inc
- Keen eye for detail, good taste
- Good understanding of design principles and theories
- Good sketching & visualization skills (on paper, on screen using a Wacom tablet)
- Good balance of aesthetic sensitivity & mechanical aptitude
- Ability to analyze / research / identify and solve problems
- Excellent written & verbal communication skills (mastery in English language preferred)
- Good MS Office skills (PowerPoint & Outlook)
- Fluent in 2D drawing software (Illustrator preferred)
- Fluent in 3D CAD surfacing (Solid Works preferred; ProE, Rhino, Alias acceptable)
- Fluent in Photoreal editing (Photoshop preferred)
- Fluent in ID rendering (Keyshot preferred)
- Ability to create dynamic product animations is a great plus (Keyshot preferred)
- Ability to create and edit videos is a great plus (Premiere and/or After Effects preferred)
- Open mind / thirst to learn / passion to explore new things this is of crucial importance
- Openness to receiving design feedback, and to being challenged to go above & beyond
- Team player attitude, self-motivation, professionalism, organizational & inter-personal skills
160
User Experience Design Intern Resume Examples & Samples
- Help design novel user experiences that solve interesting problems for our clients to incubate new products
- Collaborate with other designers, software engineers, project and product managers
- Help design and create products with new technologies and frameworks
- Currently enrolled in a degree program, Computer Science or similar
- Ability to work in Austin, TX
161
Industrial Design Intern Resume Examples & Samples
- Enrolled in a BS/BFA in Industrial Design or Product Design
- Proficiency in 3D software (Rhino, Creo, Keyshot), 2D software (Photoshop and Illustrator), and excellent sketching skills
- PDF portfolio under 5Mb
- Ability to manage time and balance different projects of varying scale
- Demonstrates the ability to turn complex problems into simple, elegant, technically-feasible solutions
- Understanding of different manufacturing processes and materials
- Ability to work in a team. Excellent verbal and written communication skills
- Desired cumulative GPA of 3.0 on a 4.0 scale
162
Game Design Intern Resume Examples & Samples
- Work on the same projects and challenges as League of Legends designers: designing, tweaking and optimizing gameplay content and mechanics
- Create, test and implement game mechanics and content, such as champion ability reworks, how intuitive ability effects are, game balancing, items, summoner spells and new features like Team Builder and the Honor Initiative
- Use your critical thinking skills and Dr. Mundo-strength dose of imagination to collaborate with designers, producers and engineers to create and implement innovative game features
- Test new content, show off your skills and level up your League play with daily games
163
Industrial Design Intern Resume Examples & Samples
- Assist in all phases of product development, translating innovation to concepts and working with project teams to bring concepts to production
- Assist in the creation and implementation of VBL documentation
- Current enrollment in a degree-seeking Bachelor’s level program in Industrial Design or related field
- Exposure to Solidworks, Adobe creative suite and sketchbook Pro to develop various concepts that clearly and effectively communicate design intent
- Excellent skills in communication and creativity. Problem solving skills are important as work is both team based and individual, depending on the specific project
164
Product Design Intern Resume Examples & Samples
- Modifies and creates new product templates with accurate specifications
- Completes backend work for new products, including: reviewing specifications, checking files, uploading files to FTP site, saving files to server and updating item details in PIM
- Designs retail packaging, using existing templates
- Creates 3-D mock-ups of new products to ensure proper construction and functionality
- Designs new products based on artwork requests and direction from Solution Teams, Product Design Supervisors and Director of Product Development
- Creates photo projects to be featured in print and online. This may include drawing, painting, assembling and embellishing wood, foam, canvas and various other craft materials
- Maintain regular, predictable attendance
- Performs all other and special projects as assigned
165
Instructional Design Intern Resume Examples & Samples
- Writing Course Descriptions
- Creating Info Graphics & other course support documentation
- Writing Course Scripts
- Building out our online presence on Client Connect & other Allscripts specific social media platforms
- On Track for a Bachelor's Degree in Instructional Design, Graphic Design, or a related discipline
- 0+ GPA preferred
- Microsoft Office Experience - Word, Excel, Publisher & PowerPoint
- Must be conscientious & monitor work quality
- Provide professional format & delivery
- Be committed to client deadlines
- Experience with Adobe Creative Suite is a plus
166
Interaction Design Intern Resume Examples & Samples
- Strong expertise in interaction design, prototyping, visual design, wire framing
- Deep user empathy and solid interaction design foundation
- Strong knowledge of Adobe Creative Suite (Photoshop, Illustrator)
- Knowledge of 3D software like Unity3D, Maya, Cinema 4D or CAD-software as well as coding (Processing, VVVV) and prototyping (Arduino, RaspberryPi) skills are welcome
- Conscientious, responsible, ability to work independently and as a part of a multi-disciplinary team
- Availability of 6 months
167
Industrial Design Intern Resume Examples & Samples
- Digital portfolio (PDF) and/or link to website with on-line work samples
- John Smith Portfolio Internship Industrial Design Spring 2017
- John Smith Resume Internship Industrial Design Spring 2017
168
CPU IC Design Intern Resume Examples & Samples
- Verilog RTL coding contributing to ARM microprocessor IP designs
- Functional verification of ARM IP using leading edge methodologies
- Studies on improving power consumption and performance for an ARM CPU
- Adopting high-level synthesis (HLS) techniques and tools for more accurate and faster PPA optimization
- Building EDA / automation flow for better efficiency in doing design and/or verification
- Preferably master students in Electrical Engineering or Computer Science
- Some experience in VLSI digital design and verification related works and/or courses
- Prepared to be assigned to tasks across the full range of your skills and experience
- Ability to communicate in written and spoken English
- Enthusiasm and drive
- Proficiency in HDL and scripting languages, e.g. Verilog / VHDL, System Verilog, Perl, TCL, Python
- Knowledge on emulator, FPGA platforms
169
Product Design Intern Resume Examples & Samples
- Completion of at least Sophomore level coursework (Junior level strongly preferred) leading towards a Bachelor's Degree in User Experience Design, Human Factors, Website Design, MIS or Engineering or other closely related technology fields
- Basic understanding of website and/or application design
- A demonstrated design aptitude (sketching, wire framing, storyboarding, etc.). Please bring your portfolio if you have one
- A desire to make systems easier for users; either digital or physical
- Strong written, verbal and presentation skills to communicate with clarity and brevity to other professionals, including software developers, program management, product management, business analysts, etc
- Analytical, creative, and visual thinker
- Basic level understanding of design tools such as Visio, Axure, Balsamiq, Photoshop, Illustrator, or others
170
Design Intern, Filene Resume Examples & Samples
- Designs for knowledge. Creates remarkable visual reports that convey information memorably. Uses the latest digital design tools and Filene brand standards to delight readers and viewers
- Produces Filene marketing, communications, and collateral designs
- Demonstrates skills in time management, attention to detail, planning, prioritizing, organizing, resource planning, and professional collaboration to accomplish assignments
- Interacts with Design Associate, Director of Research, Communications Manager, Research Associate, senior company leaders, and various researchers, contractors and suppliers
- Thinks visually and creatively about how to convey findings in compelling and useful ways. Identifies obstacles to the timely completion of projects and regularly reports on the pipeline’s status. Able to gather information, define issues, and recommend solutions in a succinct, independent manner
- Proficient in Adobe Creative Suite. Proficient in Microsoft Office, Mac and Windows software and operating systems
- (75%) Research/Innovation/Impact Outputs
171
User Experience Design Intern Resume Examples & Samples
- Contribute to Constant Contact’s design framework by documenting new and existing interaction and design patterns
- Work with other designers to produce user flows, wireframes, designs, and UI concepts
- Update and maintain organizational wiki and other asset repositories
- Workable knowledge of current industry design tools such as Adobe’s Creative Cloud, Sketch and OmniGraffle as well as Mac OS X
- Experience with browser-based applications or SaaS is a plus
- Must possess excellent interpersonal, communication, and persuasion skills
- Desire to work within a team of specialized UX disciplines
- Applicants should be enrolled or have just completed a degree program with a focus on software design, web design, human factors, or related fields
- Familiarity with different types of prototyping and development tools is a plus
172
Industrial Design Intern Resume Examples & Samples
- Do you love design and are you obsessed about design details and how they relate to people? Are you passionate about PLAY and gaming and how to create experiences that delight and enable people to play more?
- The ideal candidate has a strong design and storytelling skills
- You are equally comfortable sketching, visualizing ideas by hand as you are 3D modeling surfaces in CAD
- Candidates should demonstrate their ability to evolve design ideas, translating 3D design, into high fidelity visualizations, animations or video that supports design strategy
- Ideal candidates will be well versed in: Hand sketching and Prototype model making
- Well versed in software tools: Adobe CS, Photoshop, illustrator, After effects (a bonus)
- CAD software
- Rhino or Alias
- Keyshot
173
Interface Design Intern Resume Examples & Samples
- Experienced with typography and layout
- Familiar with designing for interactive apps and software
- Working to complete bachelor's degree or advanced degree; graphic design preferred
- Why are you interested in working for Puppet?
- Why is consistency in design important?
174
Toy Design Intern Resume Examples & Samples
- Working towards a degree in Fine Arts, Industrial Design or related
- Strong creative thinking skills
- Displays good drawing ability and basic knowledge of materials and processes
- Proactive attitude, good work ethic with an interest in toy design
- Proficiency in Photoshop and Illustrator
- 3D software experience preferred
175
Instructional Design Intern Resume Examples & Samples
- Adobe Captivate experience required. Adobe Illustrator experience a plus
- Exceptional communication skills (verbal and written)
- Ability to effectively communicate with both external and internal teams
- Excellent problem-solving and organizational skills
176
Industrial Design Intern Resume Examples & Samples
- Enrolled in a degree program in industrial design
- Great work ethic and a passion for delivering a great user experience
- Self-starter and able to work in a collaborative and results oriented environment
177
Industrial Design Intern Resume Examples & Samples
- You will engage and partner on innovative projects to gain experience in a fast paced, cross functional team environment
- You will receive mentor support for your professional development
- You will present a final presentation to your team, peers, and senior leaders to highlight your project work and impact on the business
- You will network and learn about other functions at Keurig through a Lunch & Learn series
- You will have the opportunity to volunteer in the community through our Community Action for Employees (CAFE) program
178
Footwear Materials Design Intern Resume Examples & Samples
- Provide library support such as locating materials, vendor catalogs, library organization, and guiding our design & development team members as they use the library
- Support the senior materials designer in preparing seasonal trend presentations and materials palettes
- Support the materials developer to facilitate material development projects by contacting our vendors or overseas partners, attending vendor presentations, & maintaining tracking documents
- You may also be given the opportunity to complete a personal design project of your choosing
- A strong interest in footwear materials design, construction, and manufacturing. Knowledge of or experience with textile construction & manufacturing is a plus
- Enrolled in a Bachelors program in one of the following or related fields: textiles design, textile science, apparel design, fine arts OR recent completion of such a degree
- Proficient Mac computer skills including Adobe Creative Suite, MS Excel, Word, Powerpoint
- An outgoing personality, comfortable speaking to all types of personalities
- Comfortable multi tasking in a busy environment
179
Marketing Design Intern Resume Examples & Samples
- Fain knowledge about the marketing and design processes within a large, productive organization
- Gain valuable “real world” portfolio pieces
- Gain experience working on a variety of creative projects including but not limited to, web, digital ads, print design, publishing, large-scale signage and event design, as well as photoshoots
- Must have a strong understanding of the principles of design: typography, composition, hierarchy and the ability to work with illustration/photo assets
- Must be able to prioritize and accomplish several projects/tasks concurrently and be detail- and deadline-oriented
- Have strong written and presentation skills to facilitate internal review and feedback from members of the design and larger marketing team
- A strong multimedia design portfolio that showcases your creativity, attention to detail and ability to create professional work
- Confidence using Adobe’s suite of programs. Strong skills in Illustrator, InDesign, and Photoshop are a must. Lightroom experience is a plus
- Experience working with online properties is a plus
- A positive attitude and preference for working within a collaborative team environment
- Adaptive to change
- Ability to work creatively within the confines of company brand guidelines
- Why are you interested in an internship with Puppet?
- What makes a learning experience great?
- What year you are in school and any related previous professional experience
- Please include sample portfolio or a link to an online portfolio
- When are you looking to begin your internship?
180
Product Design Intern Resume Examples & Samples
- Design human-centric flows and experiences that are incredibly simple and beautiful
- Design across multiple platforms to create consistent experiences on web & mobile
- Work closely with your design mentor, fellow designers, product managers and engineers by giving and soliciting feedback in order to continually raise the bar
- Improve the usability of our product by incorporating user research methods to guide the design, development, and refinement of the work
- See your own project through from conception to launch and measure the success through data analysis and user research
- Strong portfolio with mobile and web app projects that highlights your approach to problem solving, as well as the solutions themselves
- Strong interaction design, visual design, and prototyping skills
- Human-centric approach to designing products
- Strong cross-functional collaboration and communication skills
- Fluency in Sketch, Adobe CS, InVision (or other prototyping products)
- Understanding of JavaScript and front-end frameworks like Angular and React
181
Textile Design Intern Resume Examples & Samples
- You must be a full-time graduate student concentrating in one of the following fields-Textile Design, Product Design, or Engineering
- Experience developing knitted fabrics on seamless, circular, or warp knitting machines
- Experience in pattern design and shape implementation for technical knitting applications
- Strong knowledge in Adobe Illustrator or Textile CAD systems
- Strong technical skills in creating original artwork, repeats, scales, textures, and color theory
- Strong knowledge of fabric construction and color as well as textile manufacturing
- Design, create and validate innovative knit textures
- Understanding of the grading process of a full fashioned program
- Understanding of the full garment assembly process
- Vision requirements ranging from clarity of vision at 20 inches or less to 20 feet or more with a field of vision to see up to, down and left to right, while on a fixed point
182
Digital Design Intern Resume Examples & Samples
- Bachelor’s degree in Electrical Engineering or Computer Engineering required; Master’s degree or equivalent work experience preferred
- Knowledge of Verilog or System Verilog
- Knowledge of digital simulators such as ncverilog or vcs
- Experience with synthesis tools preferred
- Experience with a scripting language
- Familiarity with Linux/UNIX
- Required Coursework: Digital Logic Design, Electrical circuit, CMOS VLSI Design
183
Design Intern Resume Examples & Samples
- Web production - resize imagery for various social channels and ad placements. Prepare files for web upload
- Presentation production – create supporting graphics within a design template, including charts, tables and stock imagery and illustration
- Design and layout of collateral pieces, posters, presentations and hand-outs
- Scanning and file conversion for designers and account teams
- Secure image rights and oull stock photography for designers and account teams
- Review physical and proof deliverables with design team prior to account team review
- Project support for creative team as needed
- Organize and maintain files (digital and print assets) and style guides
- Under the guidance of senior creative team members, deliver designs for a variety of clients and new business opportunities
- Superb attention to detail while juggling multiple projects, priorities and deadlines
- Understand the behaviors and best practices associated with design, communication and marketing projects
- Juggle multiple projects on a daily basis, ranging from existing client work to new business pursuits
- Adhere to client style guides while maintaining consistent graphic identities that align with other print and advertising media
- Ensure that all deliverables are presented in a well-designed/laid-out, consistent and accurate manner
- Maintain design studio supplies
- Pursuing a Bachelor’s degree with a background in graphic design a plus
- Internship experience a plus (ideally in print or web graphics production space)
- Available 20 hours a week
- Client service mentality
- Strong project coordination skills: consistent follow through on projects and ability to effectively follow-up with appropriate manager with updates
- Shows a sense of urgency with requests and time sensitive projects
- Solution oriented: Able to be proactive, resourceful and take ownership of work
- Strong organization, communication, and multitasking skills
- Proficient in MS Outlook, PowerPoint, Excel, and Word
- Proficient in Mac platform design applications such as Photoshop, InDesign and Illustrator
184
Design Intern Resume Examples & Samples
- Excellent communication, public relations, teamwork and technical skills
- Good math, business/technical writing and technical reading skills
- Experience in the use of computer aided drafting, spreadsheets, word processing, and applicable engineering software
- Skills are necessary in planning, organizing, oral and written communication, prioritizing and team building
- Develop cost estimates for distribution lines
- Design and drawing layouts
- Labor, equipment and material estimates
- Field visits to determine design and customer requirements
- Basic surveying
- Budget and planning
- Spreadsheets
185
Instructional Design Intern Resume Examples & Samples
- Update eLearning courses and simulations
- Design graphics and animations for use in courses and curricula
- Create demo videos for new content
- Develop new and update existing Tip Sheets, Toolkits and other training collateral
- Collaborate with teams across athena to help define training gaps and work with them to develop effective training content
- Support instructional designers and developers
- Analyze usage and completion data to gauge training effectiveness
- Perform other tasks as needed
- Experience with design tools like Photoshop, development tools like Captivate, Camtasia or Premiere
- Data analytics, HTML, SQL, or data base experience a huge PLUS
- Independent thinker
- Great sense of humor
- Self-starter
- Passionate about teaching and learning
186
Design Intern Resume Examples & Samples
- BA Graphic Design (recent graduate or senior)
- Adobe Creative Suite (Photoshop, Illustrator, inDesign)
- Illustration, animation, motion graphics, and/or digital experience is a plus
- Must have an online portfolio
- Ability to work full time for 10 weeks (June-August)
187
Industrial Design Intern Resume Examples & Samples
- Developing and executing design projects from research through prototype and production
- Management of multiple projects and working with tight deadlines
- Presenting design concepts to multi-disciplinary teams
- Executing world class product design solutions
- Pursuing a Bachelor's Degree in Industrial Design or equivalent design coursework
- Some professional experience in Industrial Design preferred
- Proficiency in the following software programs
188
Junior Interaction Design Intern Resume Examples & Samples
- The Junior Interaction Designer will work closely with the web creative team, development team and the content team to create visual solutions for digital marketing initiatives. You'll be spending some quality time with Photoshop, but you’ll also collaborate with creative strategists, copywriters, designers, product designers, and other key members of our creative team to create engaging web material
- Experience in Photoshop, Illustrator and InDesign
- Strong team skills
- Ability to concept and sketch
- A sense of humor and an open and curious mind
- Motion design
- HTML / CSS
189
Industrial Design Intern Resume Examples & Samples
- Working skills in Adobe Illustrator also a plus
- PC proficient (Microsoft Office)
- Good Organization Skills
- Detail Oriented
- Works well in team environment
190
Digital Design Intern Resume Examples & Samples
- Enrolled in college or college graduate within the last twenty-four months
- 0 GPA or above preferred
- Expert Adobe Creative Suite skills (Photoshop, InDesign, Illustrator, Dreamweaver)
- Fluent in MS Office (Word & PowerPoint)
- Strong graphic, layout, and typography skills
191
UX Design Intern Resume Examples & Samples
- Work with designers and researchers to create prototypes and mockups of product features
- Collaborate with the development team to ship the features that you help to design
- Present designs at weekly team feedback and critique meetings
- Participate in the usability testing process
192
Industrial Design Intern Resume Examples & Samples
- Education in Product or Industrial Design
- Outstanding sketching skills
- Strong working knowledge of Adobe Creative Suite
- Experience using 3D CAD software (Solidworks, Alias, Pro/E)
- Knowledge of materials and manufacturing processes
- Willingness to "wear multiple hats" and do different tasks in a small team environment
- Ability to work on multiple projects at a time and prioritize accordingly
193
Transmission Design Intern Resume Examples & Samples
- Must be currently enrolled in an undergraduate or graduate Engineering program
- Attention to detail a must
- Must possess strong problem-solving and communication skills
194
Game Design Intern Resume Examples & Samples
- Demonstrated experience with Boolean Logic and Scripting
- RPG / Action / MOBA game experience
- Advanced Excel user
- Ability to take direction and feedback
- Experience working as part of a team
- Familiarity with Unreal Engine 4
- Preference shown to candidates with demonstrated Unreal Editor experience
195
Experience Design Intern Resume Examples & Samples
- Currently enrolled in a Master’s degree in Human Computer Interaction or Design-related degree programs, graduating in 2018
- Experience designing applications, experiences, websites, products and services that balance user needs, business objectives and technological constraints
- Entrepreneurial, experimental and self-driven while also being collaborative, with a professional presence
- Strong organizational, time management and communication skills
- Familiarity of Agile software development process is a plus
196
Vans Apparel & Accessories Design Intern Resume Examples & Samples
- Currently enrolled in an accredited education institution within an Industrial Design or Product Design discipline. A strong participation level and/or interest in various action sports (Skateboarding, Mountain Biking, Snowboarding, or Wakeboarding) is thus considered a plus
- Proficiency with Adobe Photoshop and Illustrator
- Interpersonal and communication skills
- Responsible for working within a team environment
197
Technical Design Intern Resume Examples & Samples
- Currently pursuing a degree in fashion/apparel design, textile/fibers design, or relevant field
- Passion for the A&F brands
- Ability to identify and interpret relevant fashion trends
198
UX Design Intern Resume Examples & Samples
- Creative problem solving: Assess and determine product goals, understand technical or business limitations, explore and ideate multiple design solutions, partner with mentors to assess feasibility, articulate pros/cons and make recommendations
- Initiative: Lead/drive your project, meet deadlines and manage time. Be a self-starter but also know when to reach out for help
- Agility: Excels working in a fast-paced and fluid environment
- Passion for Design: You find yourself debating about improving elevator button interactions or improving wayfinding at your local mall
- Pursuing a degree in information architecture, interaction design, UX or a related field
- Nice to have: online portfolio showcasing recent school projects, freelance work or even just things you have worked on in your spare time for fun
- Nice to have: software proficiency in one or more of the following; Adobe InDesign, Balsamiq, Moqups, wireframe.cc, sketch, Photoshop, Illustrator, Flash, Acrobat, Omnigraffle, Axure, Microsoft Word, Excel, Powerpoint or Visio
199
User Experience Design Intern Resume Examples & Samples
- Work with User Experience Designers, researchers and product managers to understand user’s needs and behaviors for the industries in which they work
- Knowledge of Adobe Creative Suite, Sketch, and Invision design tools
- Knowledge of design and user interface fundamentals
- Interest in learning best practices for user research, user testing, visual design, and interaction design
- Strong communication and collaboration skills
- 1 year of experience solving complex user problems
- At least 1 year of experience in working in a broad portfolio of related products and/or constitute a complex solution
- Prior internships preferred and considered a plus
- Candidates pursuing a Master’s Degree are is a plus
200
Integrated Play Design Intern, Summer Resume Examples & Samples
- Ideation of physical/digital play experiences
- Crafting materials to convey/visualize these concepts
- Generally proficient in Concept Art, UI/UX Design, Character Design, Environmental Design
- Outstanding traditional art skills, principals, techniques and practices
- Proven ability to efficiently translate those skills digitally
- Additional Analog and Digital Game/Play Design qualifications considered a bonus
- 3D modelling/rendering skills a +
201
Interactive Design Intern, Summer Resume Examples & Samples
- Collaborate with the Marketing & Promo teams to create design assets such as banner ads, social media graphics, e-mail campaigns and landing pages
- Must have an online portfolio displaying relevant digital graphic or web design work]
- All candidates must be available during the months of May through August
- Preferred Junior or Senior-level standing
202
Architectural Design Intern Resume Examples & Samples
- Assist in preparation of designs, quantity calculations, sketches, diagrams, schematic drawings, and final working drawings
- Use computer software as a tool for solving basic architectural problems
- Maintain conformance with building standards, established architectural practices, and applicable building codes
- Experience with BIM-Revit, AutoCAD, or other related CADD software
- Experience in the use of computers for making architectural drawings, calculations and 3-D visual simulations
- A university student with no more than one academic year remaining before earning a degree preferred, these students are typically seniors in university
- Contingent upon a positive performance review, a successful intern may receive an offer of full-time employment
203
Architectural Design Intern Resume Examples & Samples
- Prepare planning documents, conceptual and schematic designs, construction details, construction documents, technical specifications and cost estimates for a wide variety of public and private sector projects
- Perform field reviews and analysis of project sites and study areas and prepares written documentation of observations and conditions
- Entry level proficiency with AutoCAD, Adobe Creative Suite, Microsoft Office, or SketchUp, or other related CADD software
- A university student that has more than one year of school remaining before earning a degree preferred, these students typically are Freshmen, Sophomores, or Juniors in university. Successful Interns may be eligible to return for additional internships
- Sustainability, resiliency and/or climate adaptation experience a plus but not a requirement
204
Design Intern Resume Examples & Samples
- Work with Creative and Business Development teams to develop a wide variety of day to day projects
- Assist Creative and Business Development teams to create mistake free presentation deck graphics and visual assets
- Contribute to well-developed ideas during conceptual meetings and juggle numerous small design and production projects at any given time
- Solid skills in Adobe tools such as Illustration, Photoshop, InDesign
- Passion for design and out-of-the-box ideation with a constant drive to learn
- A collaborative work ethic with superior organization skills
- Ability to interact in a courteous, and professional manner and work well with others
205
Design Intern Resume Examples & Samples
- Assisting with the design and build of emails, landing pages and other digital assets
- Help collect, maintain and edit photos that support our travel locations
- Developing awesome, original advertising concepts for our digital platforms
206
Design Intern Resume Examples & Samples
- Can communicate both broadly and in detail how their creative approach drives business objectives
- Can contribute to brainstorms and high-concept meetings to develop innovative designs
- Is able to work collaboratively in a team environment, have outstanding communication skills and is able to take direction
- Is self-directed and can develop focused, high quality design on projects and campaigns. The designer will sometimes lead projects and work with Art Directors and Senior Designers, specific to the onsite channels
- Possesses expert knowledge of Macintosh and PC platforms. We want designers who are well versed in all applications for the creation of graphic design. These may include, but are not limited to: Adobe PhotoShop, Illustrator, InDesign and PowerPoint
- Has a command of the creative requirements of marketing communications including: advertising, print collateral, logo development, and interactive multimedia
- Has strong working knowledge of photographic trends and techniques/photo editing. Well versed in retouching and photo manipulation
- Strong attention to detail and the ability to produce high quality comps and mock ups
- Leads projects and our creative culture through their experience and example
- Has an outstanding professional demeanor
- Is pursuing a Bachelor of Arts or Bachelor of Fine Arts in Graphic Design from a 4-year University or College of Art or equivalent. The internship will run from June to December, for 6 months
- Has work experience in this or a related field
- Has experience working as a designer at an ad agency (in-house or external), web design firm, online retail or experience-brand company or design firm
207
User Experience Design Intern Resume Examples & Samples
- Junior standing with a target graduation date between December 2017 and June 2018
- Demonstrated initiative and ability to work independently
- In-depth knowledge with user interface patterns for mobile, web, and responsive design
- Proficiency with rapid-prototyping tools such as Axure, OmniGraffle, Sketch, HTML, Illustrator, Photoshop, InDesign
208
Design Intern Resume Examples & Samples
- Assist in cad artwork projects for customers - sketching, color and print application within Photoshop and/or Illustrator
- Assist in print and color merchandising projects
- Research fashion style trends, fabrics, and color palettes, social media and competitors
- Sketching new designs for consideration in future collections
- Assisting with maintaining fabric library
- Checking sample garments for color approval, quality and visual effects with Design
209
UX Design Intern Resume Examples & Samples
- Conducting a user research with customers and product managers to understand user’s needs and behaviors for the industries in which they work, participating in Design Thinking workshops etc
- Creating wireframes and high-fidelity prototypes
- Work with Visual Designers, Developers and Product Owners to bring concepts to life while maintaining UX best practices
- Experience planning and conducting both quantitative and qualitative user research at all stages of product development is a plus
- Basic knowledge of Axure prototyping
- Interaction and Visual design skills, including use of a diverse set of tools, e.g Adobe suite, OmniGraffle, Sketch, Balsamiq etc. is an advantage
- Knowledge of design thinking and user centered design processes and projects
- Experience with Web 2.0 technologies such as HTML5, CSS is an advantage
- Experience with design of desktop, browser, and/or mobile application user interfaces
210
Design Intern Resume Examples & Samples
- Ability to sketch in Adobe Illustrator
- Understanding of garment fit & construction
- Innovative sense for color and trend
- Pursuing a degree in Fashion Design or Illustration
- Portfolio of personal design work
- Assist with meeting preparation
211
Marketing Production Design Intern Resume Examples & Samples
- Organizing your design files so other designers can easily pick up where you left off
- Communicating effectively with web developers
- And much more (no coffee-fetching skills necessary)
- Be enrolled in their 3rd or 4th year of undergraduate studies, preferably in Graphic Design
- Have a strong working knowledge of Adobe Photoshop, Illustrator, and InDesign
- Nob be afraid to share opinions, experiences, and techniques with the team
- Raise their hand and ask questions in order to gain a better understanding of the project
- Have a good eye for detail and the desire to continually learn and improve are a must. On our team, we all learn from each other. After all, we are in the business of education!
- Have experience with HTML, CSS, and JavaScript would also be a huge plus
212
Design Intern Resume Examples & Samples
- Offer real-world experience on exciting projects
- Connect with recent college graduates and our company leaders through mentoring and young professionals programs
- Perform assignments under the direct supervision of an Architect, Project Manager, or other professionals
- Participate in the architectural design process, and develop alternative solutions and presentation graphics used to communicate concepts to client or for agency approval
- Follow through on design development drawings and models, and collaborate with production staff for technical details and completion of construction drawings
- Must be currently enrolled in an undergraduate or graduate program with a focus on architecture or engineering preferred
- Basic Microsoft Office skills
- Demonstrated knowledge of software packages related to field of study/industry
213
Design Intern Resume Examples & Samples
- High School Diploma/GED
- Basic knowledge of user experience design & visual design
- At least 1 year experience in user experience and/or visual design
214
UX Design Intern Resume Examples & Samples
- Contribute to ideation and concept development for digital user
- Prototyping and 3D Visualization Skills, familiarity with Human-Centered Design process
- Project experience in Visual Communication, New Media studies, Software Design, Human Computer Interactions, Interaction Design, or Tangible Interactions
- Experience working in automotive industry is a plus
215
Industrial Design Intern Resume Examples & Samples
- (15%) Work with design team to support Product Design: Inspiration, Sketching (traditional &/or digital), Reviews, and Refinement
- (10%) Model Making, Prototyping, and 3D Printing
- (10%) 3D Visualization, Modeling, & CAD
- (10%) Presentation support: mounting images and preparing models for shipping, documenting directions for market research
- (10%) Support the Industrial Design team with projects and responsibilities in an in house corporate design environment
- (10%) Assist or support in communicating design intent to the China and North American design teams, engineers (mechanical & electrical), marketing personnel and executives through drawings, models, and project documentation
- (10%) Creating designs that compliment specific interior design trends while considering the brands’ position in the marketplace
- (10%) Sketch concepts and styling exploration
- (5%) Prepare new design prototypes by building, painting, assembling, displaying, mail-room packaging, and documenting directions for market research and design confirmation
- (5%) Work to understand General mechanics of our products with the ability to design around standard engineering components and manufacturing requirements
- (5%) Communication intent of design through drawings, models, and project documentation
- Ability to work in a collaborative team environment
- Ability to take direction or work with team on specific tasks related to design
- Well skilled with Adobe Photoshop
- Experience creating photo-realistic renderings in Keyshot preferred
- Knowledge of 3D modeling / rendering software and ability to learn solid modeling Pro\Engineer Alias a plus
- Proficient presentation abilities through tight sketches and renderings
- Good foundation in design methodology and problem solving skills
- Comfortable with and quick to learn new software programs and procedures
- Ability to support prototyping through rapid & hand prototyping processes
- UI/UX design background / experience in a plus
216
Interaction Design Intern Resume Examples & Samples
- Assist in the tasks of our teams projects, such as technology monitoring research, usecase exploration/collection and interaction concept development
- Collection, documentation and classification of contextual use cases
- User experience documentation and interaction concepts for AI applications
- Skilled in Visual Communication, New Media studies, Human Computer Interactions, Interaction Design, or Tangible Interactions
- Experience in the automotive industry is a plus
217
Digital Design Intern Resume Examples & Samples
- Work closely with team designer to develop web graphics and assets
- Design digital assets across a range of client categories and visual styles
- Attend and participate in weekly team meetings, as needed
- Understand, monitor and follow team schedules to keep projects on time
- Responsible for keeping manager updated on changes in web design/development
- Ability to transform business objectives into high quality, creative design concepts
- Proficiency in Adobe Photoshop
- Experience in web and mobile design
- Experience in photo retouching, preferred
- Experience in HTML/CSS website layout or email layout, preferred
- Working knowledge of type manipulation, photography
- Strong aesthetic skills
- Excellent time management skills with ability to juggle multiple priorities and meet deadlines
- Well-developed project management skills
- Strong communication skills with ability to work with individuals at all levels
218
Design Intern Resume Examples & Samples
- Convert verbal and written product requirements for new boat models into conceptual designs that meet functional, feature, and cost targets
- Synthesize and develop new product ideas in the form of sketches, two-dimensional drawings and three-dimensional models
- Appropriately incorporate innovative design ideas into new product development
- Ensure timely and adequate completion of all design projects
- Communicate, organize and interpret design intent to management and engineering team members
- Interact with design personnel in other Brunswick Group product development organizations to leverage design standards and innovations
- Present reasons for recommending one design approach over another
- Ensure that manufacturability is incorporated into boat design
- Assist engineering with problems, changes, and new techniques
- Must work well with others in a team environment and foster clear and continuous communication with other groups to which design will effect
- Coordinate the construction and review of product mock-ups
- Work with suppliers (both internal and external) to ensure that design specifications are met
- Demonstrate knowledge of or the ability to learn boat construction
- Incorporate all applicable marine standards into the design of boat models
- Must follow proper procedures in the safe handling of hazardous wastes, which may be generated during the manufacturing process
- Minimum of 2 years towards a Bachelor's degree in Industrial Design
- Marine related experience preferred, however not required
- Good written and oral communication skills
- Must possess strong rendering and sketching skills
- Must have completed sophomore year of college in related area of focus
- Computer design experience to include 3-D modeling techniques required (Rhino preferred)
- Proficiency in PC based tools with a focus on Microsoft Office, Lotus Notes. and general web based applications
- Please provide a portfolio (under 2 GB in size) or a link to an online portfolio
219
Product Design Intern Resume Examples & Samples
- Assignment to small projects or discreet tasks within the Product Design function
- Understanding of geometric dimensioning and toleranceing fundamentals
- Understanding of 3 dimensional modeling fundamentals using PRO-E CREO (preferred) or comparable software
- Understanding of drawing presentation fundamentals using PRO-E CREO (preferred) or comparable software
- Still developing the fundamentals of the discipline
220
Architectual Design Intern Resume Examples & Samples
- Develop design elements that work within our Company’s culture and will appeal to a diverse workforce
- Create a comprehensive set of CAD drawings
- Develop a space plan to present to members of management
- Technical expertise to create CAD drawings – proficiency in CAD
- Ability to convert drawings and make recommendations to design expectations
- Innovative
- Ability to present recommendations to members of management
221
Mixed Signal Design Intern Resume Examples & Samples
- Engineering design techniques, tools, and principles involved in production of precision technical plans, blueprints, drawings, and models
- Programming language such as C++, perl, tcl
- Device Physics
- Analog circuits
- Unix
- Microsoft Office tools
222
Book Covers & Marketing Design Intern Resume Examples & Samples
- Stock image and rights research for book covers
- Creation of back ads
- Typesetting print editions
- Input corrections of proofread book interiors
- Create marketing materials such as Reader’s Guides and Author Bios
- Lay out ads under management of Art Director
- Stock image and rights research
- Create marketing materials such as Reader’s Guides and Author Bios as needed
223
Summer Design Intern Resume Examples & Samples
- Device simulation using TCAD tools for Si/SiC discrete power devices specially rectifier and MOSFET devices
- Design and layout of discrete devices using mentor/cadence tools
- Electrical Characterization and data analysis of discrete products/devices
- Develop and work on new IP development (Patents and research papers)
224
Industrial Design Intern Resume Examples & Samples
- Contribute to new and ongoing hardgoods initiatives by assisting with prototyping, facilitating user tests, and other related tasks
- Assist in the development of softgoods product lines including research, ideation, color work, and design spec packages
- Maintenance and organization of the sewing lab
- Perform other miscellaneous tasks as assigned
- Be passionate about your work and its contribution to driving continued innovation
- Possess strong visualization and sketching skills while being able to articulate the meaning of their output
- Be willing to work from a blank sheet of paper and self-direct knowing they have the support from a group of extremely talented designers and engineers
225
Industrial Design Intern Resume Examples & Samples
- Draft simple 3D surfaces
- Research the market and create inspiration boards
- Sketching ideas, concepts and illustrations
- Currently pursuing at least a Bachelor’s Degree or higher in Industrial Design from an accredited school
- Previous internship experience in Industrial Design is preferred
- Graphic design ability
- Hand and computer sketching abilities
- Computer skills including proficiency with Microsoft PowerPoint, Excel, SolidWorks, Adobe Photoshop and Illustrator
- Flexibility, ability to adapt
- Ability to interact with team members and other departments
- LI-LF1
226
Design Intern Resume Examples & Samples
- Generating detail drawings and/or 3D models of Emissions product assemblies and components per provided information from Product Engineers and Lead Designer. Necessary skills for accomplishing this function are
- Good workingknowledge of design anddrafting fundamentals-modeling and detailing
- Should be familiarwith GD&T
- Must have the ability to create drawings/models from supplied information such as sketches,marked prints, catalogue references, layouts and other information supplied byproduct engineers and other designers
- Workingknowledge of CATIA V5, R19 or higher, 2D and 3D required
- Trainingin Geometric Dimensioning and Tolerancing preferred
- Pursuing Associates or Bachelor’s Degree in related field
- Experience in engineering field *preferred
- Knowledge of manufacturing processes beneficial
- Knowledge of PRO-E CREOor NX 8.5 or higher a plus
227
Interaction Design Intern Resume Examples & Samples
- Contribute design expertise to the ongoing creation and revision of standard interaction patterns and controls
- Document standards on the UXD intranet using HTML, CSS, and Markdown
- Create and design UX deliverables, including low fidelity wireframes, interactive wireframe prototypes, and sitemaps
- Iterate wireframes based on team feedback to ensure all design and engineering requirements are met
228
Design Intern Resume Examples & Samples
- Help create graphics for a wide variety of subjects
- Observe current design trends on social media and across the web
- Work with editors to come up with creative solutions
- Assist the design team with the creation of headers, infographics, illustrations, type treatments and distributed content
- Optimize and prepare files for web
229
Industrial Design Intern Resume Examples & Samples
- Concept development and refinement
- User research support
- Presentation development
- Preliminary 3D modeling
- Model making and rapid prototyping
- 0-3 year’s experience in Industrial Design or related field
- Sketching, model making/prototyping
- Adobe suite- Illustrator, Photoshop required
- SolidWorks (or similar 3D CAD design software)
230
User Experience Design Intern Resume Examples & Samples
- Involvement in end user research to explore, uncover, and analyze user requirements
- Collaboration with senior user experience designer(s)
- Creation of attractive, high fidelity designs (especially for user journey creation); ability to execute visual design is a plus
- Work with product development, user experience, and user research teams to flesh out user journey diagrams
- Participate in user story reviews to ensure that all aspects of user experience requirements are identified
- Participate on one or more teams on small to medium sized projects as a user experience designer resource
- Create low fidelity wireframes to identify the user interface specifications for user stories
- Working toward a Bachelor’s or Master’s degree in a Human Computer Interaction, Human Factors, or User Experience related discipline
- Strong problem solving and analytic skills
- Excellent customer and team-interaction skills
- Graphic design experience
- Development experience or exposure (for team collaboration)
231
UX Design Intern Resume Examples & Samples
- Design support of UI prototypes for different applications
- Development of design prototypes using tools
- Support of Field Research, usability testing and other end user involvement activities
- Student at a university or university of applied sciences
- Preferred Fields of study: Human Interaction Design, Industrial Design, Informatics, Computer Science, Media Informatics or related fields
- Solid experience with prototyping tools, like Axure, Photoshop or comparable tools
- Fluency in Good Mandarin and good English language skills
- Good communication skills, team player , self-initiative and commitment
232
Product Design Intern Resume Examples & Samples
- Participate as a member of a project team consisting of product design and test engineers
- Plan, model, simulate and test purge concepts for our FOUP product line
- Construct prototype samples for empirical validation testing
- Recommend product performance and qualification test parameters
- Interpret, document, report and present interim and final engineering analysis
- 3D CAD design in SolidWorks (preferred) or Pro-Engineer
233
Design Intern Resume Examples & Samples
- Design golf footwear, accessories (Golf bags, gloves, hats and more) and apparel through sketching and creating tech packs
- Update and create appropriate product category specific color CADs
- Upload designs and colors into online product database
- Maintain and organize apparel, footwear and accessories materials library and storage
- Help with general product team housekeeping needs
- Create footwear advanced concepts through sketching and rendering
- Create and maintain apparel, footwear and accessories seasonal line sheets
- Must have good working knowledge of Adobe Illustrator
- Ability to sketch and communicate design ideas in detail
- Pursuing a Bachelor of Arts degree in Industrial Design or similar area from a 4- year accredited University or College of Art
- Ability to communicate broadly in a team environment and is able to take direction
- Self-starter attitude and willingness to take on any challenge
- Passion for Sports and Golf
234
Product Design Intern Resume Examples & Samples
- A student entering their Junior or Senior year, working towards a Bachelor’s degree in one of the following areas: Environments, Interior Design, Fashion, Textiles, Fabric, Surface Design, Industrial, Product Design, 2D Graphics, Printing Industries
- Knowledge of visualization process of creating, adapting, refining and evolving conceptual ideas with Computer Aided Design (CAD) software
- Knowledge of Adobe Photoshop, Illustrator, or other transferable Digital Imaging software
- Knowledge of Color Theory, Color Development, and Systems
- Good organization, decision making, presentation, and verbal and written communication skills
- Basic comprehension of RGB to CMYK knowledge for production press
- Computer proficient, working with both PC and Mac platforms
- Knowledge of Creative Suite (Photoshop) software
- Strong team work skills and a passion for design
235
Technical Design Intern Resume Examples & Samples
- Support Technical Design Teams
- Familiarity with the design and tech design process
- Experience measuring garments
- Please note that applicants must be currently enrolled in an undergraduate or graduate program during the Summer 2017 semester. A candidate's application acknowledges that they will be available to intern a minimum of five full days per week throughout the duration of the program which lasts from June 5 through August 11
236
Organizational Design Intern Resume Examples & Samples
- As part of the MCT unit, contribute to the team’s ongoing effort to modernize knowledge management around organizational design in UNDP, including
- Transfer large volume of job-related data onto an internally accessible, cloud-based knowledge sharing platform
- Upgrade UNDP job descriptions to align with a new corporate template and new resources in competencies
- Contribute to the formulation of business process re-engineering within UNDP’s organizational design practice to ensure services are efficient and adding maximum client value
- Contribute to the development of communications and analytics products specifically designed for UNDP’s organizational design practice, including
- Contribute to the development of new media tools (such as in-house social media content, animations, etc.) for promoting UNDP’s competency framework across our global network of offices
- Contribute to the development of guidance materials for the application of UNDP’s competency framework and job design
- Other tasks as assigned to support the work of the Management Consulting Team
- Academic knowledge and experience of human resources and organizational design
- Experience working collaboratively in a team in a multicultural environment is desirable
- Experience conducting research, data collection, maintenance and analysis, writing and editing reports, creating presentations, and basic statistics knowledge is desirable
- Official letter from the University confirming enrolment in a graduate-level (or equivalent) degree programme
- Copy of school transcript
- Letter of endorsement from a faculty member who has worked with the student in the recent past and who is knowledgeable on the student’s performance
- Copy and proof of medical insurance valid for the location in which the internship will be carried out (i.e. New York, USA)
237
Design Intern Resume Examples & Samples
- Legally eligible to work in the United States
- Scripps Networks is unable to sponsor visas for interns. If you are an international student not already studying in the U.S. on an F-1 or J-1 visa, then you have to go through a university or an outside organization (internship placement agency) that will sponsor your visa
- Must have strong oral and written communications skills, as well as exceptional organization and planning skills
- Must have an interest in the media and television industries
- Under the direction of the Design Director and Creative Director develop and executes design concepts for a variety of network initiatives
- Communicate and present concepts, design solutions and the final end product to the Design Director, Creative Director and other decision makers in a professional manner
- Collaborates with the other members of the Home Category Creative department in developing ideas and concepts for new and innovative network projects
- Implements solutions with good artistry and can be easily redirected by Design Director and Creative Director as needed
- Works independently with frequent guidance from Design Director and Creative Director
- Creates and prepares graphic elements for animation sessions using a Macintosh workstation
- Other duties as needed, and as directed by the Design Director and Creative Director
238
Design Intern Resume Examples & Samples
- Assisting with the design and creation of emails, campaigns, landing pages and other digital assets
- Helping collect, maintain and edit photos that support our destinations
- Developing original concepts for our marketing materials across digital, print, and interactive landscapes
239
Industrial Design Intern Resume Examples & Samples
- Must be currently enrolled in an Industrial Design curriculum or a recent graduate
- Must have completed Junior year in an Industrial Design program
- Must have ability to commit to a 6 month, full-time work experience located in Irvine, CA
- Extensive computer knowledge of graphic and CAD software
- Parametric Modeling, Photoshop, Illustrator, Sketch-up, Keyshot, Microsoft Office
- Strong communication skills, both verbal and written
- Knowledge of construction and manufacturing standards with possible connection to design
- Strong drive to create and explore new ideas in the home appliances industry
- Interest in working in the field of household and kitchen environment
- All candidates must submit a PDF of their resume and a design portfolio in pdf format or as a web link (no larger than 3MB)
- Develop aesthetic design concepts and preliminary studies for evaluation by the Industrial Design team, engineering and marketing
- Graphic Representation – will work on both a two-dimensional and a three-dimensional basis on sketches, drawings, renderings and presentations
- Design takes place by hand or by computer support (PC-platform)
- Will take direction from the Industrial Design Team and support them on projects as required
240
Events & Design Intern, Runner s World Resume Examples & Samples
- Assist with and develop the design of sales collateral, including sell sheets, PowerPoint presentations, client mock-ups, proposals, banner ads, and advertorials
- Place orders for promotional materials, including postcards, T-shirts, swag, etc
- Work in partnership with a project manager to develop promotional materials for the Runner’s World Events. Write and design strong, results-oriented copy that delivers against marketing strategy, positioning, branding, and sales goals. Write and design for a variety of formats (i.e., app, web, posters, and print)
- Help to support on-the-ground Runner’s World Events promotional strategy by working with supervisors and independent event contractors to develop custom market solutions to promote events to external sources
- Assist with any clerical needs, including shipping packages, making copies, edit research, travel arrangements, etc
- Exceptional design and written and verbal communications skills
- Background/coursework in art, design, marketing, and/or event planning
- Working knowledge of Adobe Creative Suite, PowerPoint, Excel on Mac platform
- *As part of your application, please submit your portfolio or samples of your work
241
Production Design Intern Resume Examples & Samples
- Execute design files from Branding team into production/pre-press ready files
- Support the implementation and maintenance of workflow conventions and procedures
- Comply with Health and Safety requirements of Belkin
- Maintain a safe and clean work environment
- Perform all other duties as assigned and required
- Excellent communication and organizational skills - ability to express ideas clearly written and graphically
- Ability to interact positively and effectively with employees at all levels within the organization, as well as with customers, prospects, and vendors
242
Design Intern, Women s Health Resume Examples & Samples
- Assisting the art department with daily administrative tasks
- Designing layouts for front-of-book sections
- Maintain and updating the art wall room
- Ability to juggle multiple projects and work independently
- Interest in magazine publishing
- Must be proficient in Adobe InDesign
- Hand lettering, illustrations, and Photoshop a huge plus
- About Rodale Inc. Rodale Inc. is a global health and wellness content company based in Emmaus, Pennsylvania and New York, New York. Known for launching the organic movement in the U.S. in 1942, the company operates today with a mission to “inspire health, healing, happiness and love in the world. Starting with you.” Home to a broad portfolio of best-in-class brands, Rodale engages more than 100 million people globally through an array of products and services that include magazines, books, online, mobile, e-commerce, direct-to-consumer, social, video and events. With 100 editions in 67 countries, Rodale publishes the largest, most established health and wellness lifestyle brands, including Men’s Health, Prevention, Women’s Health, Runner’s World, Bicycling, and Rodale’s Organic Life. Rodale Books is the premier destination for wellness content with a purpose, publishing conversation-changing titles that include Jessica Alba’s The Honest Life, Alicia Silverstone’s The Kind Diet, former Vice President Al Gore’s An Inconvenient Truth, Starbucks CEO Howard Schultz’s Onward, Maria Rodale’s Organic Manifesto and Scratch, and Dave Asprey’s The Bulletproof Diet. The Rodale Books website, RodaleWellness.com, is a content and community hub where visitors can find inspiration and information from the world’s top wellness experts. Rodale also produces numerous branded experiences that draw more than 100,000 participants annually. Rodale is a certified member of The Women’s Business Enterprise National Council (WBENC) and has received numerous industry accolades, including spots on Folio’s Top Places to Work in Media and Greatist’s Healthiest Companies in America lists, and has also been recognized as one of the Top 100 Best Adoption Friendly Workplaces
243
UX Mobile Design Intern Resume Examples & Samples
- Nurture your curiosity and passion for crafting beautiful, simple, elegant, and intuitive designs
- Obtain real-world experience in the creation of design deliverables such as wireframes, mockups, specifications, process flows, mood boards, user personas, icons, finished artwork, and prototypes
- Participate in regular design reviews and learn how to clearly support and stand behind your design work
- Cultivate a deeper understanding of the latest UX design and technology trends
- Collaborate with development and product teams as well as the broader UX team throughout the design process
- Currently enrolled in a relevant program at a college or university
- Available to work full time for 12 weeks over the summer
- Portfolio exemplifying basic understanding of the UX design process
- Proven experience with Sketch App
- Solid understanding of graphic design principles and best practices
- Flexibility and openness to feedback from cross-functional team members
- Ability to balance multiple projects or tasks effectively
- Ability to deliver great design and ask guiding questions to finish tasks
- Attention to detail and follow through
- Estimate effort and manage time effectively
- 1+ years of UI/UX design experience
- Familiarity of mobile human interface guidelines (Apple’s HIG and Google’s Material Design), current possibilities and limitations of hardware and operating systems, and basic design requirements of a complete mobile app (icon and artwork sizes, necessary screenshots, splash screens, screen resolutions, retina graphics, etc.)
- Work with and/or develop design resources such as templates, Sketch symbols, icon libraries, reusable app components, style guides, design standards, and brand standards
- Sketching and free-hand drawing skills
- Experience creating style guides comprised of visual design of elements such as color palettes, typography, and iconography
- Examples of mood boards, user personas, and prototypes in portfolio
- Experience in designing for both iOS and Android
- Experience with prototyping and micro animation applications such as, but no limited to: Invision, Adobe Comp, Proto.io, Atomic, Principle or Flinto
- Proficiency in other design tools such as Adobe Creative Cloud (Photoshop, Illustrator, XD), Axure or Balsamiq
244
Web Developer & Design Intern Resume Examples & Samples
- Ability to work and think independently
- Ability to understand and manage complexity
- Ability to problem solve (nothing is unachievable!)
- Aptitude for learning
- Handling multiple projects at once
245
Design Intern Resume Examples & Samples
- Draping on the mannequin
- PR and organising tasks for presentation in Paris
- Doing design research and assisting in designing the collection
246
Design Intern Resume Examples & Samples
- Reworking designs and completing new projects in print and/or digital formats – including, but not limited to, print/online ads, emails, website landing pages, brochures, and booth graphics
- Ensuring all design work meets the Massachusetts General Hospital brand guidelines
- Organizing photo libraries and selecting photos for project needs
- Resizing, converting and exporting files per print or web specifications
- Actively sharing new information and ideas as they relate to developing project concepts
- Currently enrolled and attending an accredited college/university, pursuing a degree in Graphic Design, Visual Arts, or related field
- Working knowledge of Adobe Creative Suite (Photoshop, InDesign, Illustrator, etc. Proficient in Aftereffects or Premier is a plus.)
- Proficient in Microsoft Office (Word, Excel and PowerPoint)
- Passion for design and creativity with a strong eye for detail
- Ability to multi-task, meet tight deadlines and be a team player
- Great verbal and written communicator
- HTML and CSS knowledge and understanding is a plus but not required
- Design portfolio demonstrating creative, clear and organized work, and a sensibility of typography, layout, color and composition
247
Digital Design Intern Resume Examples & Samples
- Work with product marketers to help design web and mobile graphics
- Help take complex documents and simplify them through infographics and data visualization
- Help design graphics/animations while conforming to branding guidelines
- Help create HTML web pages, animation, animated gifs for web banners, infographics, emails, Powerpoint templates, mood boards, and promotional material for web, social media, digital signage, etc
- Help develop campaign visuals for use across platforms
- Help maintain a digital library of all content created
- Help coordinate delivery of media assets to marketing teams, third parties, etc
- Working towards BFA or BA in Design (Graphic, Web, Interactive Design) or related discipline required
- Experience with design software, including Adobe Creative Suite
- Experience with SVG animation preferred
- Strong interpersonal skills with superior attention to detail
248
Product Design Intern Resume Examples & Samples
- If you love mobile, web and/or digital media, and are always curious about the needs of users, then please apply
- We are looking for a candidate who can work comfortably in an agile environment, is a self-starter, and who would relish learning new things
- You preferably have experience in designing mobile and web interfaces
- You'll be working closely with the Shazam product management team. A great presentation style, teamwork, and communication skills are must have's
- You are competent with using Adobe Creative suite products
- You are keen and able to learn new packages
- You have experience in designing usable mobile and/or web-based interfaces
- You have strong and clean visual design sense
- You have excellent teamwork and communication skills
249
CK Calvin Klein Design Intern Resume Examples & Samples
- Assembly design inspirational packets and the creation and purpose of tech packets
- How to collate design decks
- Creating swatch textiles into design cards
- How to drape fabrics
- Techniques on how to color in sketches
- How to photograph and catalog garments
- How to conduct online inspirational research
- Knowledge on garment construction, especially in tailoring, like constructed, tailored, hard pieces (jackets, outerwear, suiting, hard pieces)
- Knowledge with both woven and knit fabrications; fabric knowledge is very important to the design process
- Knowledge of Microsoft Word and Excel and Outlook for general day to day tasks but we also quite frequently use Adobe Photoshop, Illustrator, and InDesign
- Excellent organizational skills, detail oriented, and team-player approach
250
Packaging & Design Intern Resume Examples & Samples
- Help with the review of daily/weekly production schedules and sequence/load equipment to run primarily in one of the following areas: pre-print, mounting, die cutting & assembly
- Help ensure that preceding requirements needed to begin running a job such as the raw materials, finished goods, other components are in place. Assist in coordinating with the appropriate departments/suppliers to ensure jobs will be able to run
- Help review daily production output and make adjustments/updates to daily/weekly schedules
- Assist in creating / providing daily reports/updates to manufacturing operations so materials and staffing are coordinated with the forecasted workloads
- May verify that materials, staffing, and logistics are in place in time for scheduled production runs
- Provide quality review of instructions to ensure all information is accounted for and accurate before commencement of production
- Assist with the coordination of the resolution of customer or manufacturing issues with customer jobs. Engage appropriate departments to verify the issue and determine the root case
- Assist with the tally of the final production runs and work performed to assemble paperwork for billing purposes
- Performs other related duties and participates in special projects as assigned
- Job Knowledge & Skills